mw_debug: Fix memory overflow with "sim" backend
[microwatt.git] / fpga / clk_gen_plle2.vhd
2019-09-30 Anton BlanchardMerge pull request #77 from antonblanchard/timing
2019-09-30 Benjamin HerrenschmidtImprove PLL/MMCM clocks configuration
2019-09-11 Anton BlanchardMerge pull request #47 from antonblanchard/if-fix
2019-09-11 Anton BlanchardMerge pull request #46 from antonblanchard/record-fix
2019-09-11 Anton BlanchardRemove names from end record statements
2019-09-08 Anton BlanchardMerge pull request #20 from antonblanchard/reset-rework2
2019-09-07 Anton BlanchardRework SOC reset
2019-08-26 Anton BlanchardMerge pull request #3 from olofk/plle2
2019-08-26 Olof KindgrenAdd and use plle2 primitive for nexys boards