Creating $meminit cells in verilog front-end
[yosys.git] / frontends / ast / ast.h
2015-02-14 Clifford WolfCreating $meminit cells in verilog front-end
2014-12-29 Clifford Wolfdict/pool changes in ast
2014-12-28 Clifford WolfChanged more code to dict<> and pool<>
2014-12-26 Clifford WolfAdded Yosys::{dict,nodict,vector} container types
2014-10-26 Clifford WolfAdded support for $readmemh/$readmemb
2014-10-15 Clifford WolfFixed handling of invalid array access in mem2reg code
2014-09-22 Ahmed IrfanMerge branch 'master' of https://github.com/cliffordwol...
2014-08-21 Clifford WolfAdded AstNode::asInt()
2014-08-21 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2014-08-21 Clifford WolfAdded Verilog/AST support for DPI functions (dpi_call...
2014-08-17 Clifford WolfAdded const folding of AST_CASE to AST simplifier
2014-08-16 Clifford WolfUse stackmap<> in AST ProcessGenerator
2014-08-14 Clifford WolfChanged the AST genWidthRTLIL subst interface to use...
2014-08-06 Clifford WolfAdded AST_MULTIRANGE (arrays with more than 1 dimension)
2014-08-05 Clifford WolfImproved scope resolution of local regs in Verilog...
2014-07-31 Clifford WolfMoved some stuff to kernel/yosys.{h,cc}, using Yosys...
2014-07-28 Clifford WolfAdded support for "upto" wires to Verilog front- and...
2014-06-17 Clifford WolfAdded AstNode::MEM2REG_FL_CMPLX_LHS
2014-06-16 Clifford WolfAdded found_real feature to AstNode::detectSignWidth
2014-06-14 Clifford Wolfimproved (fixed) conversion of real values to bit vectors
2014-06-14 Clifford WolfImplemented basic real arithmetic
2014-06-13 Clifford WolfAdded Verilog lexer and parser support for real values
2014-06-07 Clifford WolfAdd support for cell arrays
2014-06-06 Clifford Wolffurther improved const function support
2014-06-06 Clifford Wolfimproved const function support
2014-06-06 Clifford Wolfadded while and repeat support to verilog parser
2014-02-17 Clifford WolfAdded Verilog support for "`default_nettype none"
2014-02-14 Clifford WolfAdded support for FOR loops in function calls in parameters
2014-02-14 Clifford WolfCreated basic support for function calls in parameter...
2014-02-13 Clifford WolfImplemented read_verilog -defer
2014-02-01 Clifford WolfAdded constant size expression support of sized constants
2014-01-28 Clifford WolfAdded read_verilog -icells option
2014-01-22 Ahmed IrfanMerge branch 'master' of https://github.com/cliffordwol...
2014-01-20 Clifford WolfFixed algorithmic complexity of AST simplification...
2014-01-20 Ahmed IrfanMerge branch 'master' of https://github.com/cliffordwol...
2014-01-19 Clifford WolfAdded Verilog parser support for asserts
2014-01-03 Ahmed IrfanMerge branch 'master' of https://github.com/cliffordwol...
2013-12-27 Clifford WolfAdded proper === and !== support in constant expressions
2013-12-05 Clifford WolfKeep strings as strings in const ternary and concat
2013-12-05 Clifford WolfAdded AstNode::mkconst_str API
2013-12-04 Clifford WolfVarious improvements in support for generate statements
2013-12-04 Clifford WolfReplaced signed_parameters API with CONST_FLAG_SIGNED
2013-12-04 Clifford WolfReplaced RTLIL::Const::str with generic decoder method
2013-11-24 Clifford WolfAdded verilog frontend -ignore_redef option
2013-11-24 Clifford WolfRemove auto_wire framework (smarter than the verilog...
2013-11-24 Clifford WolfImplemented correct handling of signed module parameters
2013-11-21 Clifford WolfMajor improvements in mem2reg and added "init" sync...
2013-11-03 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2013-11-02 Clifford WolfVarious ast changes for early expression width detectio...
2013-10-24 Clifford WolfFixed handling of boolean attributes (frontends)
2013-08-19 Clifford WolfImproved ast dumping (ast/verilog frontend)
2013-07-27 Clifford WolfAdded "design" command (-reset, -save, -load)
2013-07-09 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2013-07-09 Clifford WolfMajor redesign of expr width/sign detecion (verilog...
2013-07-04 Clifford WolfAdded defparam support to Verilog/AST frontend
2013-06-10 Clifford WolfEnabled AST/Verilog front-end optimizations per default
2013-05-16 Clifford WolfMerge branch 'bugfix'
2013-03-31 Clifford WolfNow only use value from "initial" when no matching...
2013-03-31 Clifford WolfAdded AST_INITIAL (before verilog "initial" was mapped...
2013-03-28 Clifford WolfImplemented proper handling of stub placeholder modules
2013-03-25 Clifford WolfAdded nosync attribute and some async reset related...
2013-03-24 Clifford WolfAdded mem2reg option to verilog frontend
2013-03-24 Clifford WolfImproved mem2reg handling in ast simplifier
2013-02-26 Clifford WolfAdded support for verilog genblock[index].member syntax
2013-01-05 Clifford Wolfinitial import