Renamed extend() to extend_xx(), changed most users to extend_u0()
[yosys.git] / frontends / ast / genrtlil.cc
2014-12-24 Clifford WolfRenamed extend() to extend_xx(), changed most users...
2014-11-09 Clifford WolfAdded log_warning() API
2014-10-10 Clifford WolfRenamed SIZE() to GetSize() because of name collision...
2014-09-22 Ahmed IrfanMerge branch 'master' of https://github.com/cliffordwol...
2014-09-06 Clifford WolfFixed assignment of out-of bounds array element
2014-09-04 Clifford WolfRemoved $bu0 cell type
2014-08-21 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2014-08-21 Clifford WolfAdded Verilog/AST support for DPI functions (dpi_call...
2014-08-17 Clifford WolfImproved AST ProcessGenerator performance
2014-08-16 Clifford WolfUse stackmap<> in AST ProcessGenerator
2014-08-16 Clifford WolfAST ProcessGenerator: replaced subst_*_{from,to} with...
2014-08-14 Clifford WolfAdded RTLIL::SigSpec::to_sigbit_map()
2014-08-14 Clifford WolfChanged the AST genWidthRTLIL subst interface to use...
2014-08-02 Clifford WolfMore cleanups related to RTLIL::IdString usage
2014-07-31 Clifford WolfRenamed port access function on RTLIL::Cell, added...
2014-07-31 Clifford WolfMoved some stuff to kernel/yosys.{h,cc}, using Yosys...
2014-07-29 Clifford WolfAdded $shift and $shiftx cell types (needed for correct...
2014-07-28 Clifford WolfRemoved left over debug code
2014-07-28 Clifford WolfFixed part selects of parameters
2014-07-28 Clifford WolfSet results of out-of-bounds static bit/part select...
2014-07-28 Clifford WolfFixed RTLIL code generator for part select of parameter
2014-07-28 Clifford WolfFixed width detection for part selects
2014-07-28 Clifford WolfAdded support for "upto" wires to Verilog front- and...
2014-07-28 Clifford WolfAdded wire->upto flag for signals such as "wire [0...
2014-07-28 Clifford WolfUsing log_assert() instead of assert()
2014-07-28 Clifford WolfFixed signdness detection of expressions with bit-...
2014-07-26 Clifford WolfRefactoring: Renamed RTLIL::Module::wires to wires_
2014-07-26 Clifford WolfChanged a lot of code to the new RTLIL::Wire constructors
2014-07-26 Clifford WolfMerge automatic and manual code changes for new cell...
2014-07-26 Clifford WolfManual fixes for new cell connections API
2014-07-26 Clifford WolfChanged users of cell->connections_ to the new API...
2014-07-26 Clifford WolfRenamed RTLIL::{Module,Cell}::connections to connections_
2014-07-25 Clifford WolfUse only module->addCell() and module->remove() to...
2014-07-24 Clifford WolfReplaced more old SigChunk programming patterns
2014-07-23 Clifford WolfRemoved RTLIL::SigSpec::optimize()
2014-07-22 Clifford WolfSigSpec refactoring: More cleanups of old SigSpec use...
2014-07-22 Clifford WolfSigSpec refactoring: change RTLIL::SigSpec::chunks...
2014-07-22 Clifford WolfSigSpec refactoring: change RTLIL::SigSpec::size()...
2014-07-22 Clifford WolfSigSpec refactoring: using the accessor functions every...
2014-07-22 Clifford WolfSigSpec refactoring: renamed chunks and width to __chun...
2014-07-16 Clifford WolfMerged new $mem/$memwr WR_EN interface
2014-07-16 Clifford Wolfchanges in verilog frontend for new $mem/$memwr WR_EN...
2014-06-24 Clifford WolfMore found_real-related fixes to AstNode::detectSignWid...
2014-06-21 Clifford Wolffixed signdness detection for expressions with reals
2014-06-16 Clifford WolfAdded found_real feature to AstNode::detectSignWidth
2014-06-14 Clifford Wolfimproved (fixed) conversion of real values to bit vectors
2014-06-14 Clifford WolfAdded real->int convertion in ast genrtlil
2014-06-06 Clifford Wolffurther improved const function support
2014-06-06 Clifford Wolfimproved const function support
2014-02-26 Clifford WolfFixed bit-extending in $mux argument (use $bu0 instead...
2014-02-24 Clifford WolfDon't blow up constants unneccessarily in Verilog frontend
2014-02-17 Clifford WolfAdded Verilog support for "`default_nettype none"
2014-02-15 Clifford WolfCorrectly convert constants to RTLIL (fixed undef handling)
2014-02-14 Clifford WolfCreated basic support for function calls in parameter...
2014-02-03 Clifford WolfAdded TRANSPARENT parameter to $memrd (and RD_TRANSPARE...
2014-02-01 Clifford WolfAdded constant size expression support of sized constants
2014-01-28 Clifford WolfAdded read_verilog -icells option
2014-01-20 Ahmed IrfanMerge branch 'master' of https://github.com/cliffordwol...
2014-01-19 Clifford WolfAdded $assert cell
2014-01-03 Ahmed IrfanMerge branch 'master' of https://github.com/cliffordwol...
2014-01-02 Clifford WolfAdded correct handling of $memwr priority
2013-12-27 Clifford WolfAdded support for non-const === and !== (for miter...
2013-12-27 Clifford WolfAdded proper === and !== support in constant expressions
2013-12-04 Clifford WolfVarious improvements in support for generate statements
2013-12-04 Clifford WolfReplaced signed_parameters API with CONST_FLAG_SIGNED
2013-12-04 Clifford WolfReplaced RTLIL::Const::str with generic decoder method
2013-12-04 Clifford WolfAdded support for local regs in named blocks
2013-11-28 Clifford WolfMerge pull request #17 from mschmoelzer/master
2013-11-28 Clifford WolfFixed temp net name generation in rtlil process generat...
2013-11-28 Clifford WolfAdded "src" attribute to processes
2013-11-24 Clifford WolfAdded module->avail_parameters (for advanced techmap...
2013-11-24 Clifford WolfRemove auto_wire framework (smarter than the verilog...
2013-11-24 Clifford WolfImplemented correct handling of signed module parameters
2013-11-21 Clifford WolfMajor improvements in mem2reg and added "init" sync...
2013-11-18 Clifford WolfFixed parsing of default cases when not last case
2013-11-10 Clifford WolfCleanups and bugfixes in response to new internal cell...
2013-11-08 Clifford WolfMore undef-propagation related fixes
2013-11-07 Clifford WolfFixed handling of power operator
2013-11-07 Clifford WolfFixed more extend vs. extend_u0 issues
2013-11-07 Clifford WolfRenamed extend_un0() to extend_u0() and use it in genrtlil
2013-11-07 Clifford WolfFixed const folding in corner cases with parameters
2013-11-07 Clifford WolfFixed width detection for replicate operator
2013-11-07 Clifford WolfVarious fixes for correct parameter support
2013-11-07 Clifford WolfFixed the fix for propagation of width hints for $signe...
2013-11-06 Clifford WolfFixed propagation of width hints for $signed() and...
2013-11-06 Clifford WolfAdditional fixes for undef propagation in concat and...
2013-11-06 Clifford WolfImproved width extension with regard to undef propagation
2013-11-04 Clifford Wolffurther improved early width and sign detection in...
2013-11-03 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2013-11-03 Clifford WolfFixed detectSignWidthWorker (ast frontend) for AST_CONCAT
2013-11-02 Clifford WolfBehavior should be identical now to rev. 0b4a64ac6adbd6...
2013-11-02 Clifford WolfVarious ast changes for early expression width detectio...
2013-10-24 Clifford WolfFixed handling of boolean attributes (frontends)
2013-08-19 Clifford WolfFixed width and sign detection for ** operator
2013-08-12 Clifford WolfAdded support for "2**n" shifter encoding
2013-08-09 Clifford WolfAdded $div and $mod technology mapping
2013-07-12 Clifford WolfMore fixes in ternary op sign handling
2013-07-11 Clifford WolfFixed sign handling in ternary operator
2013-07-11 Clifford WolfAnother vloghammer related bugfix
2013-07-09 Clifford WolfFixed sign propagation in bit-wise operators
next