Merge pull request #633 from mmicko/master
[yosys.git] / frontends / ast /
2018-09-14 Clifford WolfMerge pull request #625 from aman-goel/master
2018-08-27 Jim LawsonMerge branch 'master' into firrtl+modules+shiftfixes
2018-08-27 Jim LawsonMerge pull request #3 from YosysHQ/master
2018-08-23 Clifford WolfMerge pull request #610 from udif/udif_specify_round2
2018-08-23 Clifford WolfMerge pull request #614 from udif/pr_disable_dump_ptr
2018-08-23 Udi FinkelsteinAdded -no_dump_ptr flag for AST dump options in 'read_v...
2018-08-22 Jim LawsonMerge pull request #1 from YosysHQ/master
2018-08-18 Aman GoelMerge pull request #3 from YosysHQ/master
2018-08-15 Clifford WolfMerge pull request #573 from cr1901/msys-64
2018-08-15 Clifford WolfMerge pull request #591 from hzeller/virtual-override
2018-08-15 Clifford WolfMerge pull request #590 from hzeller/remaining-file...
2018-08-15 Clifford WolfMerge pull request #576 from cr1901/no-resource
2018-08-15 Clifford WolfMerge pull request #592 from japm48/master
2018-08-15 Clifford WolfMerge pull request #513 from udif/pr_reg_wire_error
2018-08-15 Clifford WolfMerge pull request #562 from udif/pr_fix_illegal_port_decl
2018-07-21 Henner ZellerConsistent use of 'override' for virtual methods in...
2018-07-21 Henner ZellerFix remaining log_file_error(); emit dependent file...
2018-07-20 Clifford WolfMerge pull request #586 from hzeller/more-sourcepos...
2018-07-20 Henner ZellerConvert more log_error() to log_file_error() where...
2018-07-20 Clifford WolfMerge pull request #585 from hzeller/use-file-warning...
2018-07-20 Henner ZellerUse log_file_warning(), log_file_error() functions.
2018-07-20 Clifford WolfMerge pull request #584 from hzeller/provide-source...
2018-07-19 Henner ZellerProvide source-location logging.
2018-07-04 Aman GoelMerge branch 'YosysHQ-master'
2018-07-04 Aman GoelMerging with official repo
2018-06-28 Clifford WolfFix handling of signed memories
2018-06-05 Udi FinkelsteinModified errors into warnings
2018-06-01 Clifford WolfAdd (* gclk *) attribute support
2018-05-04 Clifford WolfMerge pull request #537 from mithro/yosys-vpr
2018-05-03 Clifford WolfReplace -ignore_redef with -[no]overwrite
2018-03-11 Udi FinkelsteinThis PR should be the base for discussion, do not merge...
2018-02-23 Clifford WolfMerge branch 'forall'
2018-02-23 Clifford WolfAdd $allconst and $allseq cell types
2018-01-07 Clifford WolfAdd support for "yosys -E"
2018-01-05 Clifford WolfMerge pull request #479 from Fatsie/latch_without_data
2018-01-05 Clifford WolfBugfix in hierarchy handling of blackbox module ports
2017-12-09 Clifford WolfMerge branch 'master' into btor-ng
2017-12-02 Clifford WolfFix error handling for nested always/initial
2017-11-09 dh73Merge https://github.com/cliffordwolf/yosys
2017-10-10 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2017-10-10 Clifford WolfRemove some dead code
2017-10-10 Clifford WolfAllow $past, $stable, $rose, $fell in $global_clock...
2017-10-03 Clifford WolfMerge branch 'pr_ast_const_funcs' of https://github...
2017-09-30 Udi FinkelsteinTurned a few member functions into const, esp. dumpAst...
2017-09-29 Clifford WolfAllow $size and $bits in verilog mode, actually check...
2017-09-29 Clifford WolfMerge pull request #425 from udif/udif_dollar_bits
2017-09-26 Udi Finkelstein$size() now works correctly for all cases!
2017-09-26 Udi Finkelstein$size() seems to work now with or without the optional...
2017-09-26 Udi Finkelsteinenable $bits() and $size() functions only when the...
2017-09-26 Udi FinkelsteinAdded $bits() for memories as well.
2017-09-26 Udi Finkelstein$size() now works with memories as well!
2017-09-26 Udi FinkelsteinAdd $size() function. At the moment it works only on...
2017-06-07 Clifford WolfFix generation of vlogtb output in yosys-smtbmc for...
2017-02-25 Clifford WolfMerge branch 'master' of https://github.com/klammerj...
2017-02-25 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2017-02-25 Clifford WolfAdd $live and $fair cell types, add support for s_event...
2017-02-24 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2017-02-23 Clifford WolfPreserve string parameters
2017-02-14 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2017-02-14 Clifford WolfFix incorrect "incompatible re-declaration of wire...
2017-02-11 Clifford WolfMerge branch 'master' of https://github.com/stv0g/yosys...
2017-02-09 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2017-02-04 Clifford WolfAdd $cover cell type and SVA cover() support
2017-01-31 Clifford WolfMerge branch 'opt_compare_pr' of https://github.com...
2017-01-15 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2017-01-15 Clifford WolfFix bug in AstNode::mem2reg_as_needed_pass2()
2017-01-05 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2017-01-05 Clifford WolfFixed handling of local memories in functions
2017-01-04 Clifford WolfAdded handling of local memories and error for local...
2017-01-03 Clifford WolfAdded Verilog $rtoi and $itor support
2016-11-15 Clifford WolfAdded support for hierarchical defparams
2016-11-15 Clifford WolfRemember global declarations and defines accross read_v...
2016-11-04 Clifford WolfFixed anonymous genblock object names
2016-11-01 Clifford WolfSome fixes in handling of signed arrays
2016-10-22 Clifford WolfAdded avail params to ilang format, check module params...
2016-10-14 Clifford WolfAdded $anyseq cell type
2016-10-14 Clifford WolfAdded $global_clock verilog syntax support for creating...
2016-09-23 Clifford WolfMerge branch 'master' of https://github.com/brouhaha...
2016-09-18 Clifford WolfAdded $past, $stable, $rose, $fell SVA functions
2016-09-06 Clifford WolfAdded assertpmux
2016-09-06 Clifford WolfAvoid creation of bogus initial blocks for assert/assum...
2016-08-30 Clifford WolfAdded $anyconst support to yosys-smtbmc
2016-08-30 Clifford WolfRemoved $aconst cell type
2016-08-28 Clifford WolfRemoved $predict again
2016-08-22 Clifford WolfFixed bug with memories that do not have a down-to...
2016-08-21 Clifford WolfAnother bugfix in mem2reg code
2016-08-21 Clifford WolfMinor improvements to AstNode::dumpAst() and AstNode...
2016-08-20 Clifford WolfFixed finish_addr handling in $readmemh/$readmemb
2016-08-19 Clifford WolfOptimize memory address port width in wreduce and memor...
2016-08-10 Clifford WolfOnly allow posedge/negedge with 1 bit wide signals
2016-07-30 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2016-07-27 Clifford WolfAdded $anyconst and $aconst
2016-07-27 Clifford WolfAdded "read_verilog -dump_rtlil"
2016-07-21 Clifford WolfUsing $initstate in "initial assume" and "initial assert"
2016-07-21 Clifford WolfAdded $initstate cell type and vlog function
2016-07-21 Clifford WolfAfter reading the SV spec, using non-standard predict...
2016-07-13 Clifford WolfAdded basic support for $expect cells
2016-07-08 Clifford WolfFixed mem assignment in left-hand-side concatenation
2016-07-08 Clifford WolfMerge branch 'yosys-0.5-vtr' of https://github.com...
2016-06-19 Clifford WolfMerge branch 'sv_packages' of https://github.com/rubund...
next