Fix compiler warning in verific.cc
[yosys.git] / frontends / verific / verific.cc
2018-10-05 Clifford WolfFix compiler warning in verific.cc
2018-09-14 Clifford WolfMerge pull request #625 from aman-goel/master
2018-09-04 Clifford WolfAdd "verific -L <int>" option
2018-08-23 Clifford WolfMerge pull request #610 from udif/udif_specify_round2
2018-08-22 Jim LawsonMerge pull request #1 from YosysHQ/master
2018-08-22 Clifford WolfAdd "verific -work" help message
2018-08-22 Clifford WolfAdd Verific -work parameter
2018-08-19 Clifford WolfMerge pull request #606 from cr1901/show-win
2018-08-18 Aman GoelMerge pull request #3 from YosysHQ/master
2018-08-16 Clifford WolfAdd "verific -set-<severity> <msg_id>.."
2018-08-16 Clifford WolfVerific workaround for VIPER ticket 13851
2018-08-15 Clifford WolfMerge pull request #573 from cr1901/msys-64
2018-08-15 Clifford WolfMerge pull request #591 from hzeller/virtual-override
2018-08-15 Clifford WolfMerge pull request #590 from hzeller/remaining-file...
2018-08-15 Clifford WolfMerge pull request #576 from cr1901/no-resource
2018-08-15 Clifford WolfMerge pull request #513 from udif/pr_reg_wire_error
2018-08-15 Clifford WolfMerge pull request #562 from udif/pr_fix_illegal_port_decl
2018-07-22 Clifford WolfVerific: Produce errors for instantiating unknown module
2018-07-21 Henner ZellerConsistent use of 'override' for virtual methods in...
2018-07-18 Aman GoelMerge pull request #2 from YosysHQ/master
2018-07-16 Clifford WolfFix verific -vlog-incdir and -vlog-libdir handling
2018-07-16 Clifford WolfMerge pull request #581 from daveshah1/ecp5
2018-07-16 Clifford WolfFix "read -incdir"
2018-07-16 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys
2018-07-16 Clifford WolfAdd "read -incdir"
2018-07-04 Aman GoelMerge branch 'YosysHQ-master'
2018-07-04 Aman GoelMerging with official repo
2018-06-29 Clifford WolfAdd "verific -formal" and "read -formal"
2018-06-28 Clifford WolfAdd "read -sv -D" support
2018-06-28 Clifford WolfAdd "read -undef"
2018-06-22 Clifford WolfAdd YOSYS_NOVERIFIC env variable for temporarily disabl...
2018-06-21 Clifford WolfAdd simplified "read" command, enable extnets in implic...
2018-06-20 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys
2018-06-20 Clifford WolfAdd automatic verific import in hierarchy command
2018-06-01 Clifford WolfAdd (* gclk *) attribute support
2018-05-28 Clifford WolfAdd comment to VIPER #13453 work-around
2018-05-25 Clifford WolfFix Verific handling of single-bit anyseq/anyconst...
2018-05-24 Clifford WolfFix VerificClocking for cases where Verific generates...
2018-05-24 Clifford WolfFix verific handling of anyconst/anyseq attributes
2018-05-15 Clifford WolfFix handling of anyconst/anyseq attrs in VHDL code...
2018-04-07 Clifford WolfAdd PRIM_HDL_ASSERTION support to Verific importer
2018-04-06 Clifford WolfFix handling of $global_clocking in Verific
2018-04-06 Clifford WolfAdd Verific anyseq/anyconst/allseq/allconst attribute...
2018-04-06 Clifford WolfAdd "verific -autocover"
2018-04-06 Clifford WolfMerge pull request #530 from makaimann/set-ram-flags
2018-04-06 makaimannSet RAM runtime flags for Verific frontend
2018-03-27 Clifford WolfMerge pull request #515 from edcote/patch-1
2018-03-26 Clifford WolfFix handling of unclocked immediate assertions in Verif...
2018-03-15 Clifford WolfImprove import of memories via Verific
2018-03-14 Clifford WolfFix handling of SV compilation units in Verific front-end
2018-03-08 Clifford WolfUse Verific hier_tree component for elaboration
2018-03-07 Clifford WolfFix Verific handling of "assert property (..);" in...
2018-03-07 Clifford WolfAdd "verific -import -V"
2018-03-07 Clifford WolfSet Verific db_preserve_user_nets flag
2018-03-04 Clifford WolfAdd proper SVA seq.triggered support
2018-03-04 Clifford WolfAdd VerificClocking class and refactor Verific DFF...
2018-03-01 Clifford WolfFixes and improvements in Verific SVA importer
2018-03-01 Clifford WolfAdd $rose/$fell support to Verific bindings
2018-02-28 Clifford WolfMerge branch 'verificsva-ng'
2018-02-28 Clifford WolfContinue refactoring of Verific SVA importer code
2018-02-26 Clifford WolfAdd handling of verific OPER_REDUCE_NOR
2018-02-26 Clifford WolfAdd handling of verific OPER_SELECTOR and OPER_WIDE_SEL...
2018-02-26 Clifford WolfAdd handling of verific OPER_NTO1MUX and OPER_WIDE_NTO1MUX
2018-02-18 Clifford WolfAdd support for SVA until statements via Verific
2018-02-18 Clifford WolfMove Verific SVA importer to extra C++ source file
2018-02-18 Clifford WolfMerge Verific SVA preprocessor and SVA importer
2018-02-16 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2018-02-15 Clifford WolfFix verific PRIM_SVA_AT handling in properties with...
2018-02-03 Clifford WolfMerge pull request #488 from azonenberg/for_clifford
2018-02-01 Clifford WolfFix single-bit $stable handling in verific front-end
2018-01-31 Clifford WolfAdd Verific attribute handling for assert/assume/cover...
2018-01-23 Clifford WolfFixed handling of synchronous and asynchronous assertio...
2017-12-10 Clifford WolfMerge branch 'master' into btor-ng
2017-12-10 Clifford WolfAdd support for Verific PRIM_SVA_NOT properties
2017-12-09 Clifford WolfAdd Verific OPER_SVA_STABLE support
2017-12-09 Clifford WolfRefactoring Verific SVA rewriter
2017-11-09 dh73Merge https://github.com/cliffordwolf/yosys
2017-10-20 Clifford WolfRemove all PSL support code from verific.cc
2017-10-13 Clifford WolfAdd "verific -vlog-libdir"
2017-10-13 Clifford WolfAdd "verific -vlog-incdir" and "verific -vlog-define"
2017-10-12 Clifford WolfMerge pull request #434 from Kmanfi/vector_fix
2017-10-12 Clifford WolfAdd Verific fairness/liveness support
2017-10-10 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2017-10-10 Clifford WolfStart work on pre-processor for Verific SVA properties
2017-10-05 Clifford WolfImprove handling of Verific errors
2017-10-04 Clifford WolfImprove Verific error handling, check VHDL static asserts
2017-10-04 Clifford WolfFix nasty bug in Verific bindings
2017-07-28 Clifford WolfAdd merging of "past FFs" to verific importer
2017-07-28 Clifford WolfAdd minimal support for PSL in VHDL via Verific
2017-07-28 Clifford WolfImprove Verific HDL language options
2017-07-28 Clifford WolfFix handling of non-user-declared Verific netbus
2017-07-27 Clifford WolfImprove Verific SVA importer
2017-07-27 Clifford WolfAdd log_warning_noprefix() API, Use for Verific warning...
2017-07-27 Clifford WolfAdd "verific -import -n" and "verific -import -nosva"
2017-07-27 Clifford WolfImprove Verific SVA import: negedge and $past
2017-07-27 Clifford WolfImprove Verific SVA importer
2017-07-26 Clifford WolfImprove Verific bindings (mostly related to SVA)
2017-07-25 Clifford WolfImprove "help verific" message
2017-07-25 Clifford WolfAdd "verific -extnets"
2017-07-25 Clifford WolfImprove "verific -all" handling
next