Un-break default specify parser
[yosys.git] / frontends / verific /
2018-05-24 Clifford WolfFix VerificClocking for cases where Verific generates...
2018-05-24 Clifford WolfFix verific handling of anyconst/anyseq attributes
2018-05-15 Clifford WolfFix handling of anyconst/anyseq attrs in VHDL code...
2018-05-05 Clifford WolfFurther improve handling of zero-length SVA consecutive...
2018-05-05 Clifford WolfFix handling of zero-length SVA consecutive repetition
2018-04-07 Clifford WolfAdd PRIM_HDL_ASSERTION support to Verific importer
2018-04-06 Clifford WolfFix handling of $global_clocking in Verific
2018-04-06 Clifford WolfAdd Verific anyseq/anyconst/allseq/allconst attribute...
2018-04-06 Clifford WolfAdd "verific -autocover"
2018-04-06 Clifford WolfMerge pull request #530 from makaimann/set-ram-flags
2018-04-06 makaimannSet RAM runtime flags for Verific frontend
2018-03-31 Clifford WolfRemove left-over log_ping debug commands.. oops.
2018-03-27 Clifford WolfMerge pull request #515 from edcote/patch-1
2018-03-26 Clifford WolfFix handling of unclocked immediate assertions in Verif...
2018-03-16 Clifford WolfUpdate todo for more features to verificsva.cc
2018-03-16 Clifford WolfUpdate todo for more features to verificsva.cc
2018-03-16 Clifford WolfAdd todo for more features to verificsva.cc
2018-03-15 Clifford WolfImprove import of memories via Verific
2018-03-14 Clifford WolfFix handling of SV compilation units in Verific front-end
2018-03-10 Clifford WolfFix SVA handling of NON_CONSECUTIVE_REPEAT and GOTO_REPEAT
2018-03-10 Clifford WolfFix variable name typo in verificsva.cc
2018-03-10 Clifford WolfAdd support for trivial SVA sequences and properties
2018-03-08 Clifford WolfUse Verific hier_tree component for elaboration
2018-03-07 Clifford WolfFix Verific handling of "assert property (..);" in...
2018-03-07 Clifford WolfAdd "verific -import -V"
2018-03-07 Clifford WolfSet Verific db_preserve_user_nets flag
2018-03-06 Clifford WolfUpdate comment about supported SVA in verificsva.cc
2018-03-06 Clifford WolfAdd SVA NON_CONSECUTIVE_REPEAT and GOTO_REPEAT support
2018-03-06 Clifford WolfAdd SVA first_match() support
2018-03-06 Clifford WolfAdd SVA within support
2018-03-06 Clifford WolfAdd support for SVA sequence intersect
2018-03-06 Clifford WolfAdd get_fsm_accept_reject for parsing SVA properties
2018-03-06 Clifford WolfSimplified SVA "until" handling
2018-03-04 Clifford WolfAdd proper SVA seq.triggered support
2018-03-04 Clifford WolfAdd Verific SVA support for "seq and seq" expressions
2018-03-04 Clifford WolfRefactor Verific SVA importer property parser
2018-03-04 Clifford WolfAdd VerificClocking class and refactor Verific DFF...
2018-03-03 Clifford WolfAdd SVA support for sequence OR
2018-03-02 Clifford WolfFix handling of SVA "until seq.triggered" properties
2018-03-02 Clifford WolfUpdate SVA cheat sheet in verificsva.cc
2018-03-01 Clifford WolfFix in Verific SVA importer handling of until_with
2018-03-01 Clifford WolfFixes and improvements in Verific SVA importer
2018-03-01 Clifford WolfAdd $rose/$fell support to Verific bindings
2018-02-28 Clifford WolfMerge branch 'verificsva-ng'
2018-02-28 Clifford WolfAdd support for PRIM_SVA_UNTIL to new SVA importer
2018-02-28 Clifford WolfAdd DFSM generator to verific SVA importer
2018-02-28 Clifford WolfContinue refactoring of Verific SVA importer code
2018-02-27 Clifford WolfMajor redesign of Verific SVA importer
2018-02-26 Clifford WolfAdd handling of verific OPER_REDUCE_NOR
2018-02-26 Clifford WolfAdd handling of verific OPER_SELECTOR and OPER_WIDE_SEL...
2018-02-26 Clifford WolfAdd handling of verific OPER_NTO1MUX and OPER_WIDE_NTO1MUX
2018-02-26 Clifford WolfAdd "SVA syntax cheat sheet" comment to verificsva.cc
2018-02-22 Clifford WolfAdd Verific SVA support for ranges in repetition operator
2018-02-21 Clifford WolfAdd support for SVA throughout via Verific
2018-02-18 Clifford WolfAdd support for SVA sequence concatenation ranges via...
2018-02-18 Clifford WolfAdd support for SVA until statements via Verific
2018-02-18 Clifford WolfMove Verific SVA importer to extra C++ source file
2018-02-18 Clifford WolfMerge Verific SVA preprocessor and SVA importer
2018-02-16 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2018-02-15 Clifford WolfFix verific PRIM_SVA_AT handling in properties with...
2018-02-03 Clifford WolfMerge pull request #488 from azonenberg/for_clifford
2018-02-01 Clifford WolfFix single-bit $stable handling in verific front-end
2018-01-31 Clifford WolfAdd Verific attribute handling for assert/assume/cover...
2018-01-28 Clifford WolfFix permissions on verific vdb files
2018-01-23 Clifford WolfFixed handling of synchronous and asynchronous assertio...
2017-12-10 Clifford WolfMerge branch 'master' into btor-ng
2017-12-10 Clifford WolfAdd support for Verific PRIM_SVA_NOT properties
2017-12-09 Clifford WolfAdd Verific OPER_SVA_STABLE support
2017-12-09 Clifford WolfRefactoring Verific SVA rewriter
2017-11-09 dh73Merge https://github.com/cliffordwolf/yosys
2017-10-20 Clifford WolfRemove all PSL support code from verific.cc
2017-10-13 Clifford WolfAdd "verific -vlog-libdir"
2017-10-13 Clifford WolfAdd "verific -vlog-incdir" and "verific -vlog-define"
2017-10-13 Clifford WolfUpdate Verific README
2017-10-12 Clifford WolfMerge pull request #434 from Kmanfi/vector_fix
2017-10-12 Clifford WolfAdd Verific fairness/liveness support
2017-10-10 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2017-10-10 Clifford WolfStart work on pre-processor for Verific SVA properties
2017-10-05 Clifford WolfImprove handling of Verific errors
2017-10-04 Clifford WolfImprove Verific error handling, check VHDL static asserts
2017-10-04 Clifford WolfFix nasty bug in Verific bindings
2017-07-28 Clifford WolfAdd merging of "past FFs" to verific importer
2017-07-28 Clifford WolfAdd minimal support for PSL in VHDL via Verific
2017-07-28 Clifford WolfImprove Verific HDL language options
2017-07-28 Clifford WolfFix handling of non-user-declared Verific netbus
2017-07-27 Clifford WolfImprove Verific SVA importer
2017-07-27 Clifford WolfAdd log_warning_noprefix() API, Use for Verific warning...
2017-07-27 Clifford WolfAdd "verific -import -n" and "verific -import -nosva"
2017-07-27 Clifford WolfImprove Verific SVA import: negedge and $past
2017-07-27 Clifford WolfImprove Verific SVA importer
2017-07-26 Clifford WolfImprove Verific bindings (mostly related to SVA)
2017-07-25 Clifford WolfImprove "help verific" message
2017-07-25 Clifford WolfAdd "verific -extnets"
2017-07-25 Clifford WolfImprove "verific -all" handling
2017-07-24 Clifford WolfAdd "verific -import -d <dump_file"
2017-07-24 Clifford WolfAdd "verific -import -flatten" and "verific -import -v"
2017-07-22 Clifford WolfAdd "verific -import -k"
2017-07-22 Clifford WolfImprove docs for verific bindings, add simply sby example
2017-07-04 Clifford WolfAdd Verific Release information to log
2017-02-11 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
next