ice40: split out cells_map.v into ff_map.v
[yosys.git] / frontends / verific /
2020-05-14 Eddie HungMerge pull request #2045 from YosysHQ/eddie/fix2042
2020-05-14 Claire WolfMerge pull request #2052 from YosysHQ/claire/verific_memfix
2020-05-14 Claire WolfAdd support for non-power-of-two mem chunks in verific...
2020-05-04 Eddie HungMerge pull request #1996 from boqwxp/rtlil_source_locations
2020-05-03 whitequarkMerge pull request #2000 from whitequark/log_error...
2020-05-01 Claire WolfMerge pull request #1997 from whitequark/document-ootb
2020-05-01 Claire WolfMerge pull request #1981 from YosysHQ/claire/fix1837
2020-04-30 Eddie HungMerge pull request #1999 from YosysHQ/eddie/verific_enu...
2020-04-30 Eddie Hungverific: ignore anonymous enums
2020-04-27 Eddie Hungverific: support VHDL enums too
2020-04-27 Eddie HungMerge pull request #1992 from YosysHQ/eddie/bugpoint_help
2020-04-27 Eddie Hungverific: recover wiretype/enum attr as part of import_a...
2020-04-24 whitequarkMerge pull request #1998 from whitequark/cxxrtl-fixes
2020-04-24 Eddie HungRevert "verific: import enum attributes from verific"
2020-04-24 Claire WolfMerge pull request #1995 from YosysHQ/eddie/fix_verific...
2020-04-23 Eddie Hungverific: do not assert if wire not found; warn instead
2020-04-23 Eddie HungMerge pull request #1974 from YosysHQ/eddie/abc9_disabl...
2020-04-23 Claire WolfMerge pull request #1989 from boqwxp/qbfsat_anyconst_so...
2020-04-23 Claire WolfMerge pull request #1988 from boqwxp/qbfsat
2020-04-23 Claire WolfMerge pull request #1986 from YosysHQ/eddie/verific_enum
2020-04-23 Eddie Hungverific: import enum attributes from verific
2020-04-15 N. EngelhardtMerge pull request #1830 from boqwxp/qbfsat
2020-04-10 whitequarkMerge pull request #1603 from whitequark/ice40-ram_style
2020-04-08 Sahand KashaniMerge branch 'master' of github.com:YosysHQ/yosys into...
2020-04-07 Claire WolfMerge pull request #1814 from YosysHQ/mmicko/pyosys_mak...
2020-04-06 whitequarkMerge pull request #1859 from boqwxp/design_duplicate
2020-04-03 Eddie HungMerge pull request #1648 from YosysHQ/eddie/cmp2lcu
2020-04-02 Eddie HungMerge pull request #1853 from YosysHQ/eddie/fix_dynslice
2020-04-02 Eddie HungMerge pull request #1767 from YosysHQ/eddie/idstrings
2020-04-02 Eddie Hungkernel: big fat patch to use more ID::*, otherwise...
2020-04-02 Eddie Hungkernel: use more ID::*
2020-03-12 Miodrag MilanovićMerge pull request #1666 from Xiretza/improve-makefile
2020-02-20 Claire WolfMerge pull request #1642 from jjj11x/jjj11x/sv-enum
2020-02-13 N. EngelhardtMerge pull request #1679 from thasti/delay-parsing
2020-02-10 N. EngelhardtMerge pull request #1669 from thasti/pyosys-attrs
2020-02-05 Eddie HungMerge pull request #1576 from YosysHQ/eddie/opt_merge_init
2020-02-05 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2020-02-05 Eddie HungMerge pull request #1638 from YosysHQ/eddie/fix1631
2020-02-05 Eddie HungMerge pull request #1661 from YosysHQ/eddie/abc9_required
2020-02-02 David ShahMerge pull request #1647 from YosysHQ/dave/sprintf
2020-02-02 David ShahMerge pull request #1657 from YosysHQ/dave/xilinx-dsp...
2020-02-01 Eddie HungMerge branch 'master' into eddie/submod_po
2020-01-30 Claire WolfMerge pull request #1667 from YosysHQ/clifford/verificnand
2020-01-30 Claire WolfMerge pull request #1503 from YosysHQ/eddie/verific_help
2020-01-30 Claire WolfMerge pull request #1654 from YosysHQ/eddie/sby_fix69
2020-01-30 Claire WolfAdd Verific support for OPER_REDUCE_NAND
2020-01-29 Claire WolfMerge branch 'vector_fix' of https://github.com/Kmanfi...
2020-01-29 N. EngelhardtMerge pull request #1510 from pumbor/master
2020-01-29 Miodrag MilanovićMerge pull request #1559 from YosysHQ/efinix_test_fix
2020-01-28 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2020-01-28 Claire WolfMerge pull request #1567 from YosysHQ/eddie/sat_init_wa...
2020-01-28 N. EngelhardtMerge pull request #1573 from YosysHQ/eddie/xilinx_tristate
2020-01-28 Claire WolfMerge pull request #1553 from whitequark/manual-dffx
2020-01-27 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2020-01-27 Eddie Hungverific: no help() when no YOSYS_ENABLE_VERIFIC
2020-01-27 Eddie Hungverific: also unflatten for 'hierarchy' flow as per...
2020-01-24 Eddie Hungverific: unflatten struct ports
2020-01-03 N. EngelhardtMerge branch 'master' of https://github.com/YosysHQ...
2019-12-19 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2019-12-19 Eddie HungMerge pull request #1581 from YosysHQ/clifford/fix1565
2019-12-19 Eddie HungMerge pull request #1558 from YosysHQ/eddie/xaiger_cleanup
2019-12-19 Eddie HungMerge pull request #1569 from YosysHQ/eddie/fix_1531
2019-12-19 Eddie HungMerge pull request #1571 from YosysHQ/eddie/fix_1570
2019-12-18 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-12-18 David ShahMerge pull request #1563 from YosysHQ/dave/async-prld
2019-12-18 Eddie HungMerge pull request #1572 from nakengelhardt/scratchpad_pass
2019-12-18 Clifford WolfSend people to symbioticeda.com instead of verific.com
2019-12-16 Eddie HungMerge pull request #1577 from gromero/for-yosys
2019-11-27 Clifford WolfMerge pull request #1501 from YosysHQ/dave/mem_copy_attr
2019-11-23 Eddie HungMerge branch 'xaig_dff' of github.com:YosysHQ/yosys...
2019-11-22 Eddie HungMerge branch 'eddie/clkpart' into xaig_dff
2019-11-22 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-11-22 Clifford WolfMerge pull request #1517 from YosysHQ/clifford/optmem
2019-11-22 Clifford WolfMerge pull request #1515 from YosysHQ/clifford/svastuff
2019-11-22 Clifford WolfAdd Verific support for SVA nexttime properties
2019-11-22 Clifford WolfImprove handling of verific primitives in "verific...
2019-11-22 Clifford WolfAdd Verific SVA support for "always" properties
2019-11-20 Clifford WolfMerge pull request #1507 from YosysHQ/clifford/verificfixes
2019-11-20 Clifford WolfCorrectly treat empty modules as blackboxes in Verific
2019-11-20 Clifford WolfDo not rename VHDL entities to "entity(impl)" when...
2019-11-19 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2019-11-19 Eddie HungOops
2019-11-19 Eddie HungPrint help message for verific pass
2019-11-14 Clifford WolfMerge pull request #1444 from btut/feature/python_wrapp...
2019-11-14 Clifford WolfMerge pull request #1465 from YosysHQ/dave/ice40_timing_sim
2019-11-11 Pepijn de VosMerge branch 'master' of https://github.com/YosysHQ...
2019-10-27 Clifford WolfMerge pull request #1393 from whitequark/write_verilog...
2019-10-24 Clifford WolfImprove naming scheme for (VHDL) modules imported from...
2019-10-24 David ShahMerge pull request #1455 from YosysHQ/dave/ultrascaleplus
2019-10-24 Clifford WolfAdd "verific -L"
2019-10-22 Clifford WolfMerge pull request #1452 from nakengelhardt/fix_dsp_mem_reg
2019-10-21 Clifford WolfFix handling of "restrict" in Verific front-end
2019-09-27 Aman GoelMerge pull request #7 from YosysHQ/master
2019-08-30 David ShahMerge branch 'master' into xc7dsp
2019-08-26 Clifford WolfMerge tag 'yosys-0.9'
2019-08-25 Clifford WolfMerge pull request #1112 from acw1251/pyosys_sigsig_issue
2019-08-23 Eddie HungMerge remote-tracking branch 'origin/master' into mwk...
2019-08-22 Clifford WolfMerge pull request #1281 from mmicko/efinix
2019-08-21 Eddie HungMerge remote-tracking branch 'origin/master' into xc7dsp
2019-08-20 Eddie HungMerge pull request #1304 from YosysHQ/eddie/abc9_refactor
next