Make genvar a signed type
[yosys.git] / frontends / verilog / preproc.cc
2018-09-17 Udi FinkelsteinMerge branch 'master' into pr_reg_wire_error
2018-08-15 Clifford WolfMerge pull request #513 from udif/pr_reg_wire_error
2018-07-04 Aman GoelMerge branch 'YosysHQ-master'
2018-07-04 Aman GoelMerging with official repo
2018-05-17 Clifford WolfMerge pull request #550 from jimparis/yosys-upstream
2018-05-17 Jim ParisSupport SystemVerilog `` extension for macros
2018-05-17 Jim ParisSkip spaces around macro arguments
2018-01-07 Clifford WolfAdd support for "yosys -E"
2017-11-28 Clifford WolfMerge pull request #462 from daveshah1/up5k
2017-11-24 Clifford WolfMerge pull request #446 from mithro/travis-rework
2017-11-18 Clifford WolfMerge pull request #455 from daveshah1/up5k
2017-11-18 Clifford WolfMerge pull request #452 from cr1901/master
2017-11-14 William D. JonesAccommodate Windows-style paths during include-file...
2017-09-26 Clifford WolfMerge branch 'vlogpp-inc-fixes'
2017-09-26 Clifford WolfMinor coding style fix
2017-09-26 Clifford WolfMerge branch 'master' of https://github.com/combinatory...
2017-09-21 combinatorylogicAdding support for string macros and macros with argume...
2017-04-26 Clifford WolfAdd support for `resetall compiler directive
2017-03-14 Clifford WolfFix verilog pre-processor for multi-level relative...
2016-11-28 Clifford WolfAdded support for macros as include file names
2016-11-15 Clifford WolfRemember global declarations and defines accross read_v...
2016-07-08 Clifford WolfMerge branch 'yosys-0.5-vtr' of https://github.com...
2015-12-07 Clifford WolfMerge pull request #108 from cseed/master
2015-10-13 Clifford WolfSystemVerilog also has assume(), added implicit -D...
2015-07-02 Clifford WolfFixed trailing whitespaces
2015-04-03 Ahmed IrfanMerge branch 'master' of https://github.com/cliffordwol...
2015-02-14 Clifford WolfFixed handling of "//" in filenames in verilog pre...
2015-01-02 Clifford WolfDefine YOSYS and SYNTHESIS in preproc
2014-10-23 Clifford WolfRe-introduced Yosys::readsome() helper function
2014-10-14 Clifford WolfMerge branch 'win32'
2014-10-14 Clifford WolfReplaced readsome() with read() and gcount()
2014-10-11 Clifford WolfFixed win32 troubles with f.readsome()
2014-10-10 Clifford WolfAdded format __attribute__ to stringf()
2014-09-22 Ahmed IrfanMerge branch 'master' of https://github.com/cliffordwol...
2014-08-23 Clifford WolfChanged frontend-api from FILE to std::istream
2014-08-14 Clifford WolfFixed line numbers when using here-doc macros
2014-08-13 Clifford WolfAdded support for non-standard """ macro bodies
2014-07-31 Clifford WolfMoved some stuff to kernel/yosys.{h,cc}, using Yosys...
2014-07-30 Clifford WolfFixed counting verilog line numbers for "// synopsys...
2014-07-29 Clifford WolfFixed Verilog pre-processor for files with no trailing...
2014-07-28 Clifford WolfUsing log_assert() instead of assert()
2014-02-17 Clifford WolfAdded Verilog support for "`default_nettype none"
2014-01-20 Ahmed IrfanMerge branch 'master' of https://github.com/cliffordwol...
2014-01-18 Clifford WolfFixed parsing of verilog macros at end of line
2014-01-03 Ahmed IrfanMerge branch 'master' of https://github.com/cliffordwol...
2013-12-27 Clifford WolfFixed parsing of non-arg macro calls followed by "("
2013-12-27 Clifford WolfFixed parsing of macros with no arguments and expansion...
2013-12-18 Clifford WolfAdded elsif preproc support
2013-12-18 Clifford WolfAdded support for macro arguments
2013-11-22 Clifford WolfFixed O(n^2) performance bug in verilog preprocessor
2013-11-22 Clifford WolfEnable {* .. *} feature per default (removes dependency...
2013-08-20 Clifford WolfMerge pull request #9 from hansiglaser/master
2013-08-20 Johann GlaserAdded support for include directories with the new...
2013-05-19 Clifford WolfMerge pull request #6 from hansiglaser/master
2013-05-19 Johann Glaseradded option '-Dname[=definition]' to command 'read_ver...
2013-01-05 Clifford Wolfinitial import