ecp5: fix rebase mistake
[yosys.git] / frontends / verilog / verilog_frontend.h
2020-05-14 Claire WolfMerge pull request #2027 from YosysHQ/eddie/verilog_neg...
2020-05-07 Claire WolfMerge pull request #2005 from YosysHQ/claire/fix1990
2020-05-06 Eddie HungMerge pull request #2028 from zachjs/master
2020-05-05 Eddie HungMerge pull request #2025 from YosysHQ/eddie/frontend_cl...
2020-05-04 Eddie Hungfrontend: cleanup to use more ID::*, more dict<> instea...
2020-04-10 whitequarkMerge pull request #1603 from whitequark/ice40-ram_style
2020-04-08 Sahand KashaniMerge branch 'master' of github.com:YosysHQ/yosys into...
2020-04-07 Claire WolfMerge pull request #1814 from YosysHQ/mmicko/pyosys_mak...
2020-04-02 Eddie HungMerge pull request #1853 from YosysHQ/eddie/fix_dynslice
2020-04-02 Claire WolfMerge pull request #1842 from YosysHQ/mwk/fix-deminout-xz
2020-04-02 Eddie HungMerge pull request #1845 from YosysHQ/eddie/kernel_speedup
2020-04-02 Claire WolfMerge pull request #1770 from YosysHQ/claire/btor_symbols
2020-04-02 Claire WolfMerge pull request #1765 from YosysHQ/claire/btor_info
2020-04-01 Eddie HungMerge pull request #1828 from YosysHQ/eddie/celltypes_s...
2020-04-01 Eddie HungMerge pull request #1790 from YosysHQ/eddie/opt_expr_xor
2020-04-01 Eddie HungMerge pull request #1789 from YosysHQ/eddie/opt_expr_alu
2020-04-01 Claire WolfMerge pull request #1848 from YosysHQ/eddie/fix_dynslice
2020-03-31 Eddie HungMerge pull request #1761 from YosysHQ/eddie/opt_merge_s...
2020-03-30 Eddie HungMerge pull request #1783 from boqwxp/astcc_cleanup
2020-03-30 Eddie HungMerge pull request #1835 from boqwxp/cleanup_sat_expose
2020-03-30 Eddie HungMerge pull request #1832 from boqwxp/cleanup_passes_cmd...
2020-03-30 Eddie HungMerge pull request #1786 from boqwxp/hierarchycc_cleanup
2020-03-30 Eddie HungMerge pull request #1831 from boqwxp/cleanup_sat_eval
2020-03-30 Eddie HungMerge pull request #1833 from boqwxp/cleanup_sat_freduce
2020-03-30 N. EngelhardtMerge pull request #1811 from PeterCrozier/typedef_scope
2020-03-30 N. EngelhardtMerge pull request #1778 from rswarbrick/sv-defines
2020-03-27 Claire WolfMerge pull request #1607 from whitequark/simplify-simpl...
2020-03-27 Rupert SwarbrickAdd support for SystemVerilog-style `define to Verilog...
2020-03-26 Claire WolfMerge pull request #1806 from YosysHQ/mwk/techmap-repla...
2020-03-23 Peter CrozierSupport module/package/interface/block scope for typede...
2020-03-23 N. EngelhardtMerge pull request #1763 from boqwxp/issue1762
2020-03-23 N. EngelhardtMerge pull request #1803 from Grazfather/typedef
2020-03-23 PeterParser changes to support typedef.
2020-03-12 Miodrag MilanovićMerge pull request #1666 from Xiretza/improve-makefile
2020-03-10 David ShahMerge pull request #1721 from YosysHQ/dave/tribuf-unused
2020-03-09 N. EngelhardtMerge pull request #1716 from zeldin/ecp5_fix
2020-03-04 Eddie HungMerge pull request #1735 from YosysHQ/eddie/abc9_dsp48e1
2020-03-03 N. EngelhardtMerge pull request #1691 from ZirconiumX/use-flowmap...
2020-03-03 Claire WolfMerge pull request #1718 from boqwxp/precise_locations
2020-02-23 Alberto GonzalezCloses #1717. Add more precise Verilog source location...
2020-01-29 Claire WolfMerge branch 'vector_fix' of https://github.com/Kmanfi...
2019-09-27 Aman GoelMerge pull request #7 from YosysHQ/master
2019-06-26 Eddie HungMerge branch 'xc7nocarrymux' of https://github.com...
2019-06-13 Serge BazanskiMerge pull request #829 from abdelrahmanhosny/master
2019-06-12 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-07 Clifford WolfMerge branch 'pr_elab_sys_tasks' of https://github...
2019-05-31 Eddie HungMerge branch 'xaig' into xc7mux
2019-05-21 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-05-21 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-05-08 Clifford WolfMerge pull request #991 from kristofferkoch/gcc9-warnings
2019-05-06 Clifford WolfMerge pull request #946 from YosysHQ/clifford/specify
2019-05-06 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys into...
2019-05-03 Eddie HungMerge remote-tracking branch 'origin/master' into cliff...
2019-04-30 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-04-30 Benedikt TutzerMerge branch 'master' of https://github.com/YosysHQ...
2019-04-23 Clifford WolfAdd specify parser
2019-04-22 Eddie HungMerge remote-tracking branch 'origin/xc7srl' into xc7mux
2019-04-22 Eddie HungMerge remote-tracking branch 'origin/master' into xc7srl
2019-04-22 Clifford WolfMerge pull request #905 from christian-krieg/feature...
2019-04-22 Clifford WolfMerge pull request #941 from Wren6991/sim_lib_io_clke
2019-04-22 Clifford WolfMerge branch 'master' of https://github.com/dh73/yosys_...
2019-04-22 Clifford WolfMerge pull request #916 from YosysHQ/map_cells_before_m...
2019-04-22 Clifford WolfMerge pull request #911 from mmicko/gowin-nobram
2019-04-22 Clifford WolfMerge pull request #909 from zachjs/master
2019-04-22 Clifford WolfMerge pull request #944 from YosysHQ/clifford/pmux2shiftx
2019-04-22 Clifford WolfMerge pull request #945 from YosysHQ/clifford/libwb
2019-04-21 Eddie HungMerge remote-tracking branch 'origin/clifford/libwb...
2019-04-21 Eddie HungMerge branch 'master' into map_cells_before_map_luts
2019-04-21 Eddie HungMerge remote-tracking branch 'origin/clifford/pmux2shif...
2019-04-21 Eddie HungMerge remote-tracking branch 'origin/master' into xc7srl
2019-04-20 Clifford WolfNew behavior for front-end handling of whiteboxes
2019-04-20 Clifford WolfMerge pull request #943 from YosysHQ/clifford/whitebox
2019-04-18 Eddie HungMerge remote-tracking branch 'origin/clifford/whitebox...
2019-04-18 Clifford WolfAdd "whitebox" attribute, add "read_verilog -wb"
2019-03-28 Benedikt TutzerMerge remote-tracking branch 'origin/master' into featu...
2018-10-17 Clifford WolfMerge pull request #638 from udif/pr_reg_wire_error
2018-10-16 Clifford WolfMerge branch 'yosys-0.8-rc'
2018-10-08 Clifford WolfAdd "read_verilog -noassert -noassume -assert-assumes"
2018-10-02 Clifford WolfMerge pull request #645 from daveshah1/ecp5_dram_fix
2018-10-01 Aman GoelMerge pull request #4 from YosysHQ/master
2018-09-30 Clifford WolfMerge branch 'yosys-0.8-rc' of github.com:YosysHQ/yosys
2018-09-28 Clifford WolfMerge branch 'yosys-0.8-rc' of github.com:YosysHQ/yosys
2018-09-24 Clifford WolfAdd "read_verilog -noassert -noassume -assert-assumes"
2016-11-15 Clifford WolfRemember global declarations and defines accross read_v...
2016-08-26 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2016-08-26 Clifford WolfAdded read_verilog -norestrict -assume-asserts
2016-07-23 Clifford WolfNo tristate warning message for "read_verilog -lib"
2016-07-08 Clifford WolfMerge branch 'yosys-0.5-vtr' of https://github.com...
2015-07-02 Clifford WolfFixed trailing whitespaces
2015-04-03 Ahmed IrfanMerge branch 'master' of https://github.com/cliffordwol...
2015-02-26 Clifford WolfAdded non-std verilog assume() statement
2014-11-14 Clifford WolfAdded warning for use of 'z' constants in HDL
2014-09-22 Ahmed IrfanMerge branch 'master' of https://github.com/cliffordwol...
2014-08-23 Clifford WolfChanged frontend-api from FILE to std::istream
2014-07-31 Clifford WolfMoved some stuff to kernel/yosys.{h,cc}, using Yosys...
2014-06-12 Clifford WolfAdded read_verilog -sv options, added support for bit...
2014-02-17 Clifford WolfAdded Verilog support for "`default_nettype none"
2013-11-22 Clifford WolfEnable {* .. *} feature per default (removes dependency...
2013-08-20 Clifford WolfMerge pull request #9 from hansiglaser/master
2013-08-20 Johann GlaserAdded support for include directories with the new...
next