ecp5: fix rebase mistake
[yosys.git] / frontends / verilog / verilog_lexer.l
2020-04-10 whitequarkMerge pull request #1603 from whitequark/ice40-ram_style
2020-04-08 Sahand KashaniMerge branch 'master' of github.com:YosysHQ/yosys into...
2020-04-07 Claire WolfMerge pull request #1814 from YosysHQ/mmicko/pyosys_mak...
2020-04-02 Eddie HungMerge pull request #1853 from YosysHQ/eddie/fix_dynslice
2020-04-02 Claire WolfMerge pull request #1842 from YosysHQ/mwk/fix-deminout-xz
2020-04-02 Eddie HungMerge pull request #1845 from YosysHQ/eddie/kernel_speedup
2020-04-02 Claire WolfMerge pull request #1770 from YosysHQ/claire/btor_symbols
2020-04-02 Claire WolfMerge pull request #1765 from YosysHQ/claire/btor_info
2020-04-01 Eddie HungMerge pull request #1828 from YosysHQ/eddie/celltypes_s...
2020-04-01 Eddie HungMerge pull request #1790 from YosysHQ/eddie/opt_expr_xor
2020-04-01 Eddie HungMerge pull request #1789 from YosysHQ/eddie/opt_expr_alu
2020-04-01 Claire WolfMerge pull request #1848 from YosysHQ/eddie/fix_dynslice
2020-03-31 Eddie HungMerge pull request #1761 from YosysHQ/eddie/opt_merge_s...
2020-03-30 Eddie HungMerge pull request #1783 from boqwxp/astcc_cleanup
2020-03-30 Eddie HungMerge pull request #1835 from boqwxp/cleanup_sat_expose
2020-03-30 Eddie HungMerge pull request #1832 from boqwxp/cleanup_passes_cmd...
2020-03-30 Eddie HungMerge pull request #1786 from boqwxp/hierarchycc_cleanup
2020-03-30 Eddie HungMerge pull request #1831 from boqwxp/cleanup_sat_eval
2020-03-30 Eddie HungMerge pull request #1833 from boqwxp/cleanup_sat_freduce
2020-03-30 N. EngelhardtMerge pull request #1811 from PeterCrozier/typedef_scope
2020-03-27 Claire WolfMerge pull request #1607 from whitequark/simplify-simpl...
2020-03-26 Claire WolfMerge pull request #1806 from YosysHQ/mwk/techmap-repla...
2020-03-24 Peter CrozierError duplicate declarations of a typedef name in the...
2020-03-23 Peter CrozierSupport module/package/interface/block scope for typede...
2020-03-23 N. EngelhardtMerge pull request #1763 from boqwxp/issue1762
2020-03-23 N. EngelhardtMerge pull request #1803 from Grazfather/typedef
2020-03-23 PeterParser changes to support typedef.
2020-03-17 Eddie HungMerge pull request #1769 from boqwxp/select_cleanup
2020-03-16 N. EngelhardtMerge pull request #1768 from boqwxp/smt2_cleanup
2020-03-16 N. EngelhardtMerge pull request #1746 from boqwxp/optimization
2020-03-14 Miodrag MilanovićMerge pull request #1759 from zeldin/constant_with_comm...
2020-03-12 Miodrag MilanovićMerge pull request #1666 from Xiretza/improve-makefile
2020-03-11 Marcus Comstedtrefixed parsing of constant with comment between size...
2020-03-10 David ShahMerge pull request #1721 from YosysHQ/dave/tribuf-unused
2020-03-09 N. EngelhardtMerge pull request #1716 from zeldin/ecp5_fix
2020-03-04 Eddie HungMerge pull request #1735 from YosysHQ/eddie/abc9_dsp48e1
2020-03-03 N. EngelhardtMerge pull request #1691 from ZirconiumX/use-flowmap...
2020-03-03 Claire WolfMerge pull request #1718 from boqwxp/precise_locations
2020-03-03 Claire WolfMerge pull request #1681 from YosysHQ/eddie/fix1663
2020-03-03 Claire WolfMerge pull request #1519 from YosysHQ/eddie/submod_po
2020-02-27 Claire WolfMerge pull request #1709 from rqou/coolrunner2_counter
2020-02-27 Claire WolfMerge pull request #1708 from rqou/coolrunner2-buf-fix
2020-02-26 Miodrag MilanovićMerge pull request #1705 from YosysHQ/logger_pass
2020-02-23 Alberto GonzalezCloses #1717. Add more precise Verilog source location...
2020-02-21 Eddie HungMerge pull request #1703 from YosysHQ/eddie/specify_improve
2020-02-20 Claire WolfMerge pull request #1642 from jjj11x/jjj11x/sv-enum
2020-02-13 Eddie Hungverilog: ignore '&&&' when not in -specify mode
2020-02-13 N. EngelhardtMerge pull request #1679 from thasti/delay-parsing
2020-02-10 N. EngelhardtMerge pull request #1669 from thasti/pyosys-attrs
2020-02-05 Eddie HungMerge pull request #1576 from YosysHQ/eddie/opt_merge_init
2020-02-05 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2020-02-05 Eddie HungMerge pull request #1638 from YosysHQ/eddie/fix1631
2020-02-05 Eddie HungMerge pull request #1661 from YosysHQ/eddie/abc9_required
2020-02-03 Rodrigo Alejandro... Merge branch 'master' of https://github.com/YosysHQ...
2020-02-02 David ShahMerge pull request #1516 from YosysHQ/dave/dotstar
2020-02-02 David Shahsv: Improve handling of wildcard port connections
2020-02-02 David Shahsv: Add lexing and parsing of .* (wildcard port conns)
2020-02-01 Eddie HungMerge branch 'master' into eddie/submod_po
2020-01-29 Claire WolfMerge branch 'vector_fix' of https://github.com/Kmanfi...
2020-01-29 N. EngelhardtMerge pull request #1510 from pumbor/master
2020-01-29 Miodrag MilanovićMerge pull request #1559 from YosysHQ/efinix_test_fix
2020-01-28 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2020-01-28 Claire WolfMerge pull request #1567 from YosysHQ/eddie/sat_init_wa...
2020-01-28 N. EngelhardtMerge pull request #1573 from YosysHQ/eddie/xilinx_tristate
2020-01-28 Claire WolfMerge pull request #1553 from whitequark/manual-dffx
2020-01-27 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2020-01-03 N. EngelhardtMerge branch 'master' of https://github.com/YosysHQ...
2019-12-19 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2019-12-19 Eddie HungMerge pull request #1558 from YosysHQ/eddie/xaiger_cleanup
2019-12-19 Eddie HungMerge pull request #1569 from YosysHQ/eddie/fix_1531
2019-12-19 Eddie HungMerge pull request #1571 from YosysHQ/eddie/fix_1570
2019-12-18 David ShahMerge pull request #1563 from YosysHQ/dave/async-prld
2019-12-18 Eddie HungMerge pull request #1572 from nakengelhardt/scratchpad_pass
2019-12-17 Eddie HungMerge pull request #1574 from YosysHQ/eddie/xilinx_lutram
2019-12-16 Eddie HungMerge branch 'diego/memattr' of https://github.com...
2019-12-16 Eddie HungMerge pull request #1575 from rodrigomelo9/master
2019-12-16 Eddie HungMerge pull request #1577 from gromero/for-yosys
2019-12-13 Rodrigo Alejandro... Fixed some missing "verilog_" in documentation
2019-11-27 Clifford WolfMerge pull request #1501 from YosysHQ/dave/mem_copy_attr
2019-11-23 Eddie HungMerge branch 'xaig_dff' of github.com:YosysHQ/yosys...
2019-11-22 Eddie HungMerge branch 'eddie/clkpart' into xaig_dff
2019-11-22 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-11-22 Clifford WolfMerge pull request #1511 from YosysHQ/dave/always
2019-11-21 David Shahsv: Correct parsing of always_comb, always_ff and alway...
2019-10-27 Clifford WolfMerge pull request #1393 from whitequark/write_verilog...
2019-10-21 Pepijn de VosMerge branch 'master' of https://github.com/YosysHQ...
2019-10-01 SergeyMerge branch 'master' into SergeyDegtyar/efinix
2019-10-01 SergeyMerge branch 'master' into SergeyDegtyar/anlogic
2019-09-30 Eddie HungMerge branch 'SergeyDegtyar/ecp5' of https://github...
2019-09-27 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2019-09-27 Aman GoelMerge pull request #7 from YosysHQ/master
2019-09-25 Eddie HungMerge pull request #1401 from SergeyDegtyar/SergeyDegty...
2019-09-18 Eddie HungMerge pull request #1355 from YosysHQ/eddie/peepopt_dff...
2019-09-18 Eddie HungMerge remote-tracking branch 'origin/master' into xc7dsp
2019-09-15 Eddie HungMerge pull request #1374 from YosysHQ/eddie/fix1371
2019-09-13 Clifford WolfMerge pull request #1373 from YosysHQ/clifford/fix1364
2019-09-13 Clifford WolfFix lexing of integer literals without radix
2019-09-12 Clifford WolfFix lexing of integer literals, fixes #1364
2019-08-26 Clifford WolfMerge tag 'yosys-0.9'
2019-08-25 Clifford WolfMerge pull request #1112 from acw1251/pyosys_sigsig_issue
next