abc9: -reintegrate recover type from existing cell, check against boxid
[yosys.git] / frontends / verilog /
2020-01-03 N. EngelhardtMerge branch 'master' of https://github.com/YosysHQ...
2019-12-19 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2019-12-19 Eddie HungMerge pull request #1558 from YosysHQ/eddie/xaiger_cleanup
2019-12-19 Eddie HungMerge pull request #1569 from YosysHQ/eddie/fix_1531
2019-12-19 Eddie HungMerge pull request #1571 from YosysHQ/eddie/fix_1570
2019-12-18 David ShahMerge pull request #1563 from YosysHQ/dave/async-prld
2019-12-18 Eddie HungMerge pull request #1572 from nakengelhardt/scratchpad_pass
2019-12-17 Eddie HungMerge pull request #1574 from YosysHQ/eddie/xilinx_lutram
2019-12-16 Eddie HungMerge branch 'diego/memattr' of https://github.com...
2019-12-16 Eddie HungMerge pull request #1575 from rodrigomelo9/master
2019-12-16 Eddie HungMerge pull request #1577 from gromero/for-yosys
2019-12-13 Rodrigo Alejandro... Fixed some missing "verilog_" in documentation
2019-12-12 Diego HMerge https://github.com/YosysHQ/yosys into bram_xilinx
2019-12-11 David ShahMerge pull request #1564 from ZirconiumX/intel_housekeeping
2019-12-10 Eddie HungMerge pull request #1545 from YosysHQ/eddie/ice40_wrapc...
2019-12-07 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2019-12-05 Clifford WolfMerge pull request #1551 from whitequark/manual-cell...
2019-12-04 whitequarkkernel: require \B_SIGNED=0 on $shl, $sshl, $shr, ...
2019-11-27 Clifford WolfMerge pull request #1501 from YosysHQ/dave/mem_copy_attr
2019-11-23 Eddie HungMerge branch 'xaig_dff' of github.com:YosysHQ/yosys...
2019-11-22 Eddie HungMerge branch 'eddie/clkpart' into xaig_dff
2019-11-22 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-11-22 Clifford WolfMerge pull request #1511 from YosysHQ/dave/always
2019-11-21 David Shahsv: Correct parsing of always_comb, always_ff and alway...
2019-11-19 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2019-11-14 Clifford WolfMerge pull request #1444 from btut/feature/python_wrapp...
2019-11-14 Clifford WolfMerge pull request #1465 from YosysHQ/dave/ice40_timing_sim
2019-11-11 Pepijn de VosMerge branch 'master' of https://github.com/YosysHQ...
2019-11-10 Clifford WolfMerge pull request #1470 from YosysHQ/clifford/subpassdoc
2019-11-07 Clifford WolfAdd check for valid macro names in macro definitions
2019-10-27 Clifford WolfMerge pull request #1393 from whitequark/write_verilog...
2019-10-22 Clifford WolfMerge pull request #1452 from nakengelhardt/fix_dsp_mem_reg
2019-10-21 Clifford WolfAdd "verilog_defines -list" and "verilog_defines -reset"
2019-10-21 Pepijn de VosMerge branch 'master' of https://github.com/YosysHQ...
2019-10-18 Miodrag MilanovićMerge branch 'master' into mmicko/efinix
2019-10-18 Miodrag MilanovićMerge branch 'master' into mmicko/anlogic
2019-10-18 Miodrag MilanovićMerge branch 'master' into eddie/pr1352
2019-10-15 Benedikt TutzerMerge branch 'master' of https://github.com/YosysHQ...
2019-10-14 Clifford WolfMerge pull request #1448 from YosysHQ/daveshah1-sv...
2019-10-14 Clifford WolfUse "(id)" instead of "id" for types as temporary hack
2019-10-03 David Shahsv: Disambiguate interface ports
2019-10-03 David Shahsv: Fix memories of typedefs
2019-10-03 David Shahsv: Add %expect
2019-10-03 David Shahsv: Add support for memory typedefs
2019-10-03 David Shahsv: Fix typedef parameters
2019-10-03 David Shahsv: Switch parser to glr, prep for typedef
2019-10-01 SergeyMerge branch 'master' into SergeyDegtyar/efinix
2019-10-01 SergeyMerge branch 'master' into SergeyDegtyar/anlogic
2019-09-30 Eddie HungMerge branch 'SergeyDegtyar/ecp5' of https://github...
2019-09-27 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2019-09-27 Aman GoelMerge pull request #7 from YosysHQ/master
2019-09-25 Eddie HungMerge pull request #1401 from SergeyDegtyar/SergeyDegty...
2019-09-18 Eddie HungMerge pull request #1355 from YosysHQ/eddie/peepopt_dff...
2019-09-18 Eddie HungMerge remote-tracking branch 'origin/master' into xc7dsp
2019-09-18 Eddie HungMerge pull request #1379 from mmicko/sim_models
2019-09-15 Eddie HungMerge pull request #1374 from YosysHQ/eddie/fix1371
2019-09-15 Clifford WolfMerge pull request #1377 from YosysHQ/clifford/fixzdigit
2019-09-13 Clifford WolfFix handling of z_digit "?" and fix optimization of...
2019-09-13 Clifford WolfMerge pull request #1373 from YosysHQ/clifford/fix1364
2019-09-13 Clifford WolfFix lexing of integer literals without radix
2019-09-12 Clifford WolfFix lexing of integer literals, fixes #1364
2019-08-26 Clifford WolfMerge tag 'yosys-0.9'
2019-08-25 Clifford WolfMerge pull request #1112 from acw1251/pyosys_sigsig_issue
2019-08-22 Clifford WolfMerge pull request #1281 from mmicko/efinix
2019-08-20 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-16 Eddie HungMerge branch 'eddie/abc9_refactor' into xaig_dff
2019-08-16 Eddie HungMerge pull request #1250 from bwidawsk/master
2019-08-16 Eddie HungMerge https://github.com/bogdanvuk/yosys into bogdanvuk...
2019-08-12 Eddie HungMerge remote-tracking branch 'origin/master' into xc7dsp
2019-08-12 Serge BazanskiMerge pull request #1152 from 1138-4EB/feat-docker
2019-08-12 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-10 Clifford WolfMerge pull request #1258 from YosysHQ/eddie/cleanup
2019-08-07 Eddie Hungsubstr() -> compare()
2019-08-07 Eddie HungRTLIL::S{0,1} -> State::S{0,1}
2019-08-07 Jim LawsonMerge branch 'master' into firrtl_err_on_unsupported_cell
2019-08-06 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-01 Eddie HungMerge remote-tracking branch 'origin/master' into xc7dsp
2019-07-31 Clifford WolfMerge pull request #1233 from YosysHQ/clifford/defer
2019-07-30 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-07-29 Eddie HungMerge pull request #1228 from YosysHQ/dave/yy_buf_size
2019-07-26 David Shahverilog_lexer: Increase YY_BUF_SIZE to 65536
2019-07-24 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-07-10 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2019-07-10 Eddie HungMerge remote-tracking branch 'origin/master' into xc7dsp
2019-07-09 Clifford WolfMerge pull request #1147 from YosysHQ/clifford/fix1144
2019-07-09 Clifford WolfFix read_verilog assert/assume/etc on default case...
2019-07-09 Clifford WolfMerge pull request #1167 from YosysHQ/eddie/xc7srl_cleanup
2019-07-08 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-07-03 Eddie HungMerge pull request #1156 from YosysHQ/eddie/fix_abc9_un...
2019-07-03 Clifford WolfMerge pull request #1147 from YosysHQ/clifford/fix1144
2019-07-03 Clifford WolfSome cleanups in "ignore specify parser"
2019-07-02 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2019-07-02 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-07-02 Eddie HungMerge pull request #1150 from YosysHQ/eddie/script_from...
2019-07-02 Clifford WolfFix read_verilog assert/assume/etc on default case...
2019-07-01 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2019-06-28 Clifford WolfImprove specify dummy parser, fixes #1144
2019-06-27 Eddie HungMerge origin/master
2019-06-27 Eddie HungMerge pull request #1138 from YosysHQ/koriakin/xc7nocar...
2019-06-27 Bogdan VukobratovicMerge remote-tracking branch 'upstream/master'
next