Added support for ommited "parameter" in Verilog-2001 style parameter decl in SV...
[yosys.git] / frontends / verilog /
2018-09-23 Clifford WolfAdded support for ommited "parameter" in Verilog-2001...
2018-09-14 Clifford WolfMerge pull request #625 from aman-goel/master
2018-08-27 Jim LawsonMerge branch 'master' into firrtl+modules+shiftfixes
2018-08-27 Jim LawsonMerge pull request #3 from YosysHQ/master
2018-08-27 Clifford WolfAdd "make coverage"
2018-08-23 Clifford WolfMerge pull request #610 from udif/udif_specify_round2
2018-08-23 Clifford WolfMerge pull request #614 from udif/pr_disable_dump_ptr
2018-08-23 Udi FinkelsteinAdded -no_dump_ptr flag for AST dump options in 'read_v...
2018-08-22 Jim LawsonMerge pull request #1 from YosysHQ/master
2018-08-20 Udi FinkelsteinFixed all known specify/endspecify issues, without...
2018-08-19 Udi FinkelsteinYosys can now parse https://github.com/verilog-to-routi...
2018-08-18 Aman GoelMerge pull request #3 from YosysHQ/master
2018-08-15 Udi FinkelsteinA few minor enhancements to specify block parsing.
2018-08-15 Clifford WolfMerge pull request #573 from cr1901/msys-64
2018-08-15 Clifford WolfMerge pull request #591 from hzeller/virtual-override
2018-08-15 Clifford WolfMerge pull request #590 from hzeller/remaining-file...
2018-08-15 Clifford WolfMerge pull request #576 from cr1901/no-resource
2018-08-15 Clifford WolfMerge pull request #592 from japm48/master
2018-08-15 Clifford WolfMerge pull request #513 from udif/pr_reg_wire_error
2018-08-15 Clifford WolfMerge pull request #562 from udif/pr_fix_illegal_port_decl
2018-07-21 Henner ZellerConsistent use of 'override' for virtual methods in...
2018-07-20 Clifford WolfMerge pull request #586 from hzeller/more-sourcepos...
2018-07-20 Henner ZellerConvert more log_error() to log_file_error() where...
2018-07-20 Clifford WolfMerge pull request #585 from hzeller/use-file-warning...
2018-07-20 Henner ZellerUse log_file_warning(), log_file_error() functions.
2018-07-04 Aman GoelMerge branch 'YosysHQ-master'
2018-07-04 Aman GoelMerging with official repo
2018-06-06 Udi FinkelsteinDetect illegal port declaration, e.g input/output/inout...
2018-06-05 Udi FinkelsteinModified errors into warnings
2018-05-17 Clifford WolfMerge pull request #550 from jimparis/yosys-upstream
2018-05-17 Jim ParisSupport SystemVerilog `` extension for macros
2018-05-17 Jim ParisSkip spaces around macro arguments
2018-05-04 Clifford WolfMerge pull request #537 from mithro/yosys-vpr
2018-05-03 Clifford WolfReplace -ignore_redef with -[no]overwrite
2018-05-03 Dan GisselquistSupport more character literals
2018-04-13 Clifford WolfAdd statement labels for immediate assertions
2018-04-12 Clifford WolfAllow "property" in immediate assertions
2018-04-06 Clifford WolfAdd read_verilog anyseq/anyconst/allseq/allconst attrib...
2018-03-27 Udi FinkelsteinFirst draft of Verilog parser support for specify block...
2018-03-11 Udi FinkelsteinThis PR should be the base for discussion, do not merge...
2018-02-23 Clifford WolfMerge branch 'forall'
2018-02-23 Clifford WolfAdd $allconst and $allseq cell types
2018-01-07 Clifford WolfAdd support for "yosys -E"
2017-12-24 Clifford WolfBugfix in verilog_defaults argument parser
2017-11-28 Clifford WolfMerge pull request #462 from daveshah1/up5k
2017-11-24 Clifford WolfMerge pull request #446 from mithro/travis-rework
2017-11-23 Clifford WolfMerge branch 'master' into btor-ng
2017-11-23 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2017-11-23 Clifford WolfAdd Verilog "automatic" keyword (ignored in synthesis)
2017-11-18 Clifford WolfMerge pull request #455 from daveshah1/up5k
2017-11-18 Clifford WolfAccept real-valued delay values
2017-11-18 Clifford WolfMerge pull request #452 from cr1901/master
2017-11-14 William D. JonesAccommodate Windows-style paths during include-file...
2017-10-03 Clifford WolfMerge branch 'pr_ast_const_funcs' of https://github...
2017-10-03 Clifford WolfMerge branch 'fix_shift_reduce_conflict' of https:...
2017-09-30 Udi FinkelsteinResolved classical Bison IF/THEN/ELSE shift/reduce...
2017-09-26 Clifford WolfMerge branch 'vlogpp-inc-fixes'
2017-09-26 Clifford WolfMinor coding style fix
2017-09-26 Clifford WolfMerge branch 'master' of https://github.com/combinatory...
2017-09-25 Clifford WolfFix ignoring of simulation timings so that invalid...
2017-09-21 combinatorylogicAdding support for string macros and macros with argume...
2017-07-21 Clifford WolfAdd a paragraph about pre-defined macros to read_verilo...
2017-06-07 Clifford WolfFix generation of vlogtb output in yosys-smtbmc for...
2017-06-01 Clifford WolfFix handling of Verilog ~& and ~| operators
2017-04-30 Clifford WolfAdd support for localparam in module header
2017-04-26 Clifford WolfAdd support for `resetall compiler directive
2017-03-14 Clifford WolfFix verilog pre-processor for multi-level relative...
2017-03-01 Clifford WolfAllow $anyconst, etc. in non-formal SV mode
2017-02-25 Clifford WolfMerge branch 'master' of https://github.com/klammerj...
2017-02-25 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2017-02-25 Clifford WolfAdd $live and $fair cell types, add support for s_event...
2017-02-24 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2017-02-23 Clifford WolfAdd support for SystemVerilog unique, unique0, and...
2017-02-23 Clifford WolfAdded SystemVerilog support for ++ and --
2017-02-11 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2017-02-11 Clifford WolfMerge branch 'master' of https://github.com/stv0g/yosys...
2017-02-09 Clifford WolfAdd checker support to verilog front-end
2017-02-09 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2017-02-08 Clifford WolfAdd SV "rand" and "const rand" support
2017-02-04 Clifford WolfFurther improve cover() support
2017-02-04 Clifford WolfAdd $cover cell type and SVA cover() support
2017-01-31 Clifford WolfMerge branch 'opt_compare_pr' of https://github.com...
2017-01-26 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2017-01-17 Clifford WolfAdd "enum" and "typedef" lexer support
2016-12-23 Andrew ZonenbergMerge pull request #1 from azonenberg-hk/master
2016-12-17 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-12-15 Clifford WolfAdded "verilog_defines" command
2016-11-28 Clifford WolfAdded support for macros as include file names
2016-11-28 Clifford WolfBugfix in "read_verilog -D NAME=VAL" handling
2016-11-15 Clifford WolfAdded support for hierarchical defparams
2016-11-15 Clifford WolfRemember global declarations and defines accross read_v...
2016-10-14 Clifford WolfAdded $anyseq cell type
2016-08-30 Clifford WolfRemoved $aconst cell type
2016-08-28 Clifford WolfRemoved $predict again
2016-08-26 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2016-08-26 Clifford WolfMerge pull request #215 from frznchckn/to_upstream
2016-08-26 Clifford WolfAdded read_verilog -norestrict -assume-asserts
2016-08-25 Clifford WolfImproved verilog parser errors
2016-08-24 Clifford WolfAdded SV "restrict" keyword
2016-08-06 Clifford WolfFixed bug in parsing real constants
next