projects
/
yosys.git
/ history
commit
grep
author
committer
pickaxe
?
search:
re
summary
|
shortlog
|
log
|
commit
|
commitdiff
|
tree
first ⋅ prev ⋅
next
synth_ice40: use 4-LUT coarse synthesis mode.
[yosys.git]
/
frontends
/
2018-12-31
Clifford Wolf
Merge pull request #766 from Icenowy/anlogic-latches
tree
|
commitdiff
2018-12-23
Clifford Wolf
Merge pull request #761 from whitequark/proc_clean_partial
tree
|
commitdiff
2018-12-23
Clifford Wolf
Add "read_ilang -[no]overwrite"
tree
|
commitdiff
2018-12-22
Clifford Wolf
Merge pull request #757 from whitequark/manual_mem
tree
|
commitdiff
2018-12-19
Clifford Wolf
Merge pull request #752 from Icenowy/anlogic-lut-cost
tree
|
commitdiff
2018-12-19
Clifford Wolf
Merge pull request #753 from Icenowy/anlogic-makefile-fix
tree
|
commitdiff
2018-12-19
Clifford Wolf
Merge pull request #749 from Icenowy/anlogic-dram-fix
tree
|
commitdiff
2018-12-18
Clifford Wolf
Merge pull request #748 from makaimann/add-btor-ops
tree
|
commitdiff
2018-12-18
Clifford Wolf
Fix segfault in AST simplify
tree
|
commitdiff
2018-12-18
Clifford Wolf
Improve src tagging (using names and attrs) of cells...
tree
|
commitdiff
2018-12-17
Clifford Wolf
Merge pull request #746 from Icenowy/anlogic-dram
tree
|
commitdiff
2018-12-17
Clifford Wolf
Merge pull request #742 from whitequark/changelog
tree
|
commitdiff
2018-12-17
Clifford Wolf
Merge pull request #741 from whitequark/ilang_slice_sigspec
tree
|
commitdiff
2018-12-16
whitequark
read_ilang: allow slicing sigspecs.
tree
|
commitdiff
2018-12-16
Clifford Wolf
Merge pull request #736 from whitequark/select_assert_list
tree
|
commitdiff
2018-12-16
Clifford Wolf
Merge pull request #704 from webhat/feature/fix-awk
tree
|
commitdiff
2018-12-16
Clifford Wolf
Merge pull request #738 from smunaut/issue_737
tree
|
commitdiff
2018-12-16
Clifford Wolf
Merge pull request #724 from whitequark/equiv_opt
tree
|
commitdiff
2018-12-16
Clifford Wolf
Merge pull request #723 from whitequark/synth_ice40_map...
tree
|
commitdiff
2018-12-16
Clifford Wolf
Merge pull request #722 from whitequark/rename_src
tree
|
commitdiff
2018-12-16
Clifford Wolf
Merge pull request #720 from whitequark/master
tree
|
commitdiff
2018-12-14
Sylvain Munaut
verilog_parser: Properly handle recursion when processi...
tree
|
commitdiff
2018-12-06
Clifford Wolf
Verific updates
tree
|
commitdiff
2018-12-05
Clifford Wolf
Merge pull request #709 from smunaut/issue_708
tree
|
commitdiff
2018-12-01
Clifford Wolf
Merge pull request #676 from rafaeltp/master
tree
|
commitdiff
2018-11-24
Sylvain Munaut
Make return value of $clog2 signed
tree
|
commitdiff
2018-11-12
Clifford Wolf
Merge pull request #695 from daveshah1/ecp5_bb
tree
|
commitdiff
2018-11-09
Clifford Wolf
Set Verific flag vhdl_support_variable_slice=1
tree
|
commitdiff
2018-11-05
Clifford Wolf
Allow square brackets in liberty identifiers
tree
|
commitdiff
2018-11-04
Clifford Wolf
Add warning for SV "restrict" without "property"
tree
|
commitdiff
2018-11-04
Clifford Wolf
Various indenting fixes in AST front-end (mostly space...
tree
|
commitdiff
2018-11-04
Clifford Wolf
Merge pull request #687 from trcwm/master
tree
|
commitdiff
2018-11-04
Clifford Wolf
Merge pull request #688 from ZipCPU/rosenfell
tree
|
commitdiff
2018-11-03
ZipCPU
Make and dependent upon LSB only
tree
|
commitdiff
2018-11-01
Clifford Wolf
Do not generate "reg assigned in a continuous assignmen...
tree
|
commitdiff
2018-10-25
Clifford Wolf
Merge pull request #678 from whentze/master
tree
|
commitdiff
2018-10-25
Clifford Wolf
Fix minor typo in error message
tree
|
commitdiff
2018-10-25
Clifford Wolf
Merge pull request #679 from udif/pr_syntax_error
tree
|
commitdiff
2018-10-24
Udi Finkelstein
Rename the generic "Syntax error" message from the...
tree
|
commitdiff
2018-10-23
Clifford Wolf
Merge pull request #677 from daveshah1/ecp5_dsp
tree
|
commitdiff
2018-10-21
rafaeltp
Merge pull request #1 from YosysHQ/master
tree
|
commitdiff
2018-10-20
Clifford Wolf
Improve read_verilog range out of bounds warning
tree
|
commitdiff
2018-10-20
Clifford Wolf
Merge pull request #674 from rubund/feature/svinterface...
tree
|
commitdiff
2018-10-20
Ruben Undheim
Refactor code to avoid code duplication + added comments
tree
|
commitdiff
2018-10-20
Ruben Undheim
Support for SystemVerilog interfaces as a port in the...
tree
|
commitdiff
2018-10-20
Ruben Undheim
Fixed memory leak
tree
|
commitdiff
2018-10-19
Clifford Wolf
Merge pull request #672 from daveshah1/fix_bram
tree
|
commitdiff
2018-10-18
Clifford Wolf
Merge pull request #659 from rubund/sv_interfaces
tree
|
commitdiff
2018-10-18
Clifford Wolf
Merge pull request #657 from mithro/xilinx-vpr
tree
|
commitdiff
2018-10-18
Clifford Wolf
Merge pull request #664 from tklam/ignore-verilog-protect
tree
|
commitdiff
2018-10-17
Clifford Wolf
Minor code cleanups in liberty front-end
tree
|
commitdiff
2018-10-17
Clifford Wolf
Merge pull request #660 from tklam/parse-liberty-detect...
tree
|
commitdiff
2018-10-17
Clifford Wolf
Merge pull request #663 from aman-goel/master
tree
|
commitdiff
2018-10-17
Clifford Wolf
Merge pull request #658 from daveshah1/ecp5_bram
tree
|
commitdiff
2018-10-17
Clifford Wolf
Merge pull request #641 from tklam/master
tree
|
commitdiff
2018-10-17
Clifford Wolf
Merge pull request #638 from udif/pr_reg_wire_error
tree
|
commitdiff
2018-10-16
Clifford Wolf
Merge branch 'yosys-0.8-rc'
tree
|
commitdiff
2018-10-16
argama
ignore protect endprotect
tree
|
commitdiff
2018-10-13
Ruben Undheim
Handle FIXME for modport members without type directly...
tree
|
commitdiff
2018-10-13
Ruben Undheim
Documentation improvements etc.
tree
|
commitdiff
2018-10-13
argama
detect ff/latch before processing other nodes
tree
|
commitdiff
2018-10-13
tklam
Merge branch 'master' of https://github.com/YosysHQ...
tree
|
commitdiff
2018-10-12
Ruben Undheim
Fix build error with clang
tree
|
commitdiff
2018-10-12
Ruben Undheim
Support for 'modports' for System Verilog interfaces
tree
|
commitdiff
2018-10-12
Ruben Undheim
Synthesis support for SystemVerilog interfaces
tree
|
commitdiff
2018-10-07
Clifford Wolf
Improve Verific importer blackbox handling
tree
|
commitdiff
2018-10-05
Clifford Wolf
Merge pull request #651 from ARandomOWL/stdcells_fix
tree
|
commitdiff
2018-10-05
Clifford Wolf
Merge pull request #654 from mithro/patch-1
tree
|
commitdiff
2018-10-05
Clifford Wolf
Fix compiler warning in verific.cc
tree
|
commitdiff
2018-10-03
tklam
Merge branch 'master' of https://github.com/YosysHQ...
tree
|
commitdiff
2018-10-02
Clifford Wolf
Merge pull request #645 from daveshah1/ecp5_dram_fix
tree
|
commitdiff
2018-10-02
Clifford Wolf
Merge pull request #646 from tomverbeure/issue594
tree
|
commitdiff
2018-10-02
Tom Verbeure
Fix for issue 594.
tree
|
commitdiff
2018-10-01
Dan Gisselquist
Add read_verilog $changed support
tree
|
commitdiff
2018-10-01
Aman Goel
Merge pull request #4 from YosysHQ/master
tree
|
commitdiff
2018-09-30
Clifford Wolf
Merge branch 'yosys-0.8-rc' of github.com:YosysHQ/yosys
tree
|
commitdiff
2018-09-30
Clifford Wolf
Fix handling of $past 2nd argument in read_verilog
tree
|
commitdiff
2018-09-28
Clifford Wolf
Merge branch 'yosys-0.8-rc' of github.com:YosysHQ/yosys
tree
|
commitdiff
2018-09-24
Udi Finkelstein
Fixed issue #630 by fixing a minor typo in the previous...
tree
|
commitdiff
2018-09-24
Clifford Wolf
Add "read_verilog -noassert -noassume -assert-assumes"
tree
|
commitdiff
2018-09-23
Clifford Wolf
Added support for ommited "parameter" in Verilog-2001...
tree
|
commitdiff
2018-09-17
Udi Finkelstein
Merge branch 'master' into pr_reg_wire_error
tree
|
commitdiff
2018-09-17
Udi Finkelstein
Fixed remaining cases where we check fo wire reg/wire...
tree
|
commitdiff
2018-09-14
Clifford Wolf
Merge pull request #625 from aman-goel/master
tree
|
commitdiff
2018-09-04
Clifford Wolf
Add "verific -L <int>" option
tree
|
commitdiff
2018-08-27
Jim Lawson
Merge branch 'master' into firrtl+modules+shiftfixes
tree
|
commitdiff
2018-08-27
Jim Lawson
Merge pull request #3 from YosysHQ/master
tree
|
commitdiff
2018-08-27
Clifford Wolf
Add "make coverage"
tree
|
commitdiff
2018-08-23
Clifford Wolf
Merge pull request #610 from udif/udif_specify_round2
tree
|
commitdiff
2018-08-23
Clifford Wolf
Merge pull request #614 from udif/pr_disable_dump_ptr
tree
|
commitdiff
2018-08-23
Udi Finkelstein
Added -no_dump_ptr flag for AST dump options in 'read_v...
tree
|
commitdiff
2018-08-22
Jim Lawson
Merge pull request #1 from YosysHQ/master
tree
|
commitdiff
2018-08-22
Clifford Wolf
Add "verific -work" help message
tree
|
commitdiff
2018-08-22
Clifford Wolf
Add Verific -work parameter
tree
|
commitdiff
2018-08-20
Udi Finkelstein
Fixed all known specify/endspecify issues, without...
tree
|
commitdiff
2018-08-19
Udi Finkelstein
Yosys can now parse https://github.com/verilog-to-routi...
tree
|
commitdiff
2018-08-19
Clifford Wolf
Merge pull request #606 from cr1901/show-win
tree
|
commitdiff
2018-08-18
Aman Goel
Merge pull request #3 from YosysHQ/master
tree
|
commitdiff
2018-08-16
Clifford Wolf
Add "verific -set-<severity> <msg_id>.."
tree
|
commitdiff
2018-08-16
Clifford Wolf
Verific workaround for VIPER ticket 13851
tree
|
commitdiff
next