Added new APIs to changelog
[yosys.git] / frontends /
2015-02-07 Clifford WolfIgnore explicit assignments to constants in HDL code
2015-02-07 Clifford WolfFixed a bug with autowire bit size
2015-01-24 Clifford WolfAdded ENABLE_NDEBUG makefile options
2015-01-15 Clifford WolfIgnoring more system task and functions
2015-01-15 Clifford WolfFixed handling of "input foo; reg [0:0] foo;"
2015-01-15 Clifford WolfConsolidate "Blocking assignment to memory.." msgs...
2015-01-08 Clifford WolfMerge pull request #46 from utzig/master
2015-01-08 Fabio UtzigEnable bison to be customized
2015-01-02 Clifford WolfDefine YOSYS and SYNTHESIS in preproc
2015-01-01 Clifford WolfFixed memory->start_offset handling
2014-12-29 Clifford WolfAdded global yosys_celltypes
2014-12-29 Clifford Wolfdict/pool changes in ast
2014-12-28 Clifford WolfChanged more code to dict<> and pool<>
2014-12-27 Clifford WolfImproved some warning messages
2014-12-27 Clifford WolfFixed mem2reg warning message
2014-12-26 Clifford WolfAdded Yosys::{dict,nodict,vector} container types
2014-12-24 Clifford WolfRenamed extend() to extend_xx(), changed most users...
2014-12-11 Clifford WolfFixed supply0/supply1 with many wires
2014-11-24 Clifford WolfFixed minor bug in parsing delays
2014-11-24 Clifford WolfFixed two minor bugs in constant parsing
2014-11-14 Clifford WolfAdded warning for use of 'z' constants in HDL
2014-11-12 Clifford WolfFixed parsing of nested verilog concatenation and replicate
2014-11-09 Clifford WolfAdded log_warning() API
2014-11-08 Clifford WolfAdded "ENABLE_PLUGINS := 0" to verific amd64 build...
2014-10-30 Clifford WolfFixed parsing of "module mymod #( parameter foo = 1...
2014-10-29 Clifford WolfAST simplifier: optimize constant AST_CASE nodes before...
2014-10-27 Clifford WolfAdded support for task and function args in parentheses
2014-10-26 Clifford WolfImprovements in $readmem[bh] implementation
2014-10-26 Clifford WolfAdded support for $readmemh/$readmemb
2014-10-25 Clifford WolfFixed constant "cond ? string1 : string2" with strings...
2014-10-23 Clifford WolfRe-introduced Yosys::readsome() helper function
2014-10-19 Clifford Wolfminor indenting corrections
2014-10-19 Clifford WolfMerge pull request #40 from parvizp/compile_mac_10.9.2
2014-10-19 Parviz PalangpourBuilds on Mac 10.9.2 with LLVM 3.5.
2014-10-18 Clifford WolfFixed various VS warnings
2014-10-17 William SpeirsHeader changes so it will compile on VS
2014-10-17 William SpeirsWrapped math in int constructor
2014-10-16 Clifford WolfPrint "SystemVerilog" in "read_verilog -sv" log messages
2014-10-15 Clifford WolfFixed handling of invalid array access in mem2reg code
2014-10-14 Clifford WolfMerge branch 'win32'
2014-10-14 Clifford WolfUpdated .gitignore file for ilang and verilog frontends
2014-10-14 Clifford WolfReplaced readsome() with read() and gcount()
2014-10-14 William SpeirsUpdated lexers & parsers to include prefixes
2014-10-12 Clifford WolfAdded make_temp_{file,dir}() and remove_directory(...
2014-10-12 Clifford WolfAdded run_command() api to replace system() and popen()
2014-10-11 Clifford WolfDo not the 'z' modifier in format string (another win32...
2014-10-11 Clifford WolfFixed win32 troubles with f.readsome()
2014-10-11 Clifford WolfDisabled vhdl2verilog command for win32 builds
2014-10-10 Clifford WolfAdded format __attribute__ to stringf()
2014-10-10 Clifford WolfRenamed SIZE() to GetSize() because of name collision...
2014-09-27 Clifford Wolfnamespace Yosys
2014-09-22 Ahmed IrfanMerge branch 'master' of https://github.com/cliffordwol...
2014-09-08 Clifford WolfAnother $clog2 bugfix
2014-09-06 Clifford WolfFixed $clog2 (off by one error)
2014-09-06 Clifford WolfFixed assignment of out-of bounds array element
2014-09-06 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2014-09-06 Clifford WolfMerge pull request #38 from rubund/master
2014-09-06 Ruben UndheimCorrected spelling mistakes found by lintian
2014-09-04 Clifford WolfRemoved $bu0 cell type
2014-08-23 Clifford WolfRemoved compatbility.{h,cc}: Not using open_memstream...
2014-08-23 Clifford WolfChanged frontend-api from FILE to std::istream
2014-08-22 Clifford WolfAdded emscripten (emcc) support to build system and...
2014-08-22 Clifford WolfAdded support for non-standard <plugin>:<c_name> DPI...
2014-08-22 Clifford WolfArchibald Rust and Clifford Wolf: ffi-based dpi_call()
2014-08-21 Clifford WolfFixed small memory leak in ast simplify
2014-08-21 Clifford WolfAdded support for DPI function with different names...
2014-08-21 Clifford WolfAdded AstNode::asInt()
2014-08-21 Clifford WolfFixed memory leak in DPI function calls
2014-08-21 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2014-08-21 Clifford WolfAdded Verilog/AST support for DPI functions (dpi_call...
2014-08-21 Clifford WolfAdded support for global tasks and functions
2014-08-18 Clifford WolfAdded "via_celltype" attribute on task/func
2014-08-17 Clifford WolfAdded const folding of AST_CASE to AST simplifier
2014-08-17 Clifford WolfImproved AST ProcessGenerator performance
2014-08-16 Clifford WolfUse stackmap<> in AST ProcessGenerator
2014-08-16 Clifford WolfAdded module->uniquify()
2014-08-16 Clifford WolfAST ProcessGenerator: replaced subst_*_{from,to} with...
2014-08-15 Clifford WolfRenamed $_INV_ cell type to $_NOT_
2014-08-14 Clifford WolfFixed bug in "read_verilog -ignore_redef"
2014-08-14 Clifford WolfAdded RTLIL::SigSpec::to_sigbit_map()
2014-08-14 Clifford WolfChanged the AST genWidthRTLIL subst interface to use...
2014-08-14 Clifford WolfFixed line numbers when using here-doc macros
2014-08-14 Clifford WolfFixed handling of task outputs
2014-08-14 Clifford WolfAdded module->ports
2014-08-13 Clifford WolfAdded support for non-standard """ macro bodies
2014-08-12 Clifford WolfFixed building verific bindings
2014-08-07 Clifford WolfAlso allow "module foobar(input foo, output bar, ....
2014-08-06 Clifford WolfAdded AST_MULTIRANGE (arrays with more than 1 dimension)
2014-08-05 Clifford WolfImproved scope resolution of local regs in Verilog...
2014-08-05 Clifford WolfFixed AST handling of variables declared inside a funct...
2014-08-04 Clifford WolfAdded support for non-standard "module mod_name(.....
2014-08-02 Clifford WolfMore bugfixes related to new RTLIL::IdString
2014-08-02 Clifford WolfMore cleanups related to RTLIL::IdString usage
2014-08-01 Clifford WolfPreparations for RTLIL::IdString redesign: cleanup...
2014-08-01 Clifford WolfReplaced sha1 implementation
2014-07-31 Clifford WolfFixed build of verific bindings
2014-07-31 Clifford WolfRenamed port access function on RTLIL::Cell, added...
2014-07-31 Clifford WolfAdded module->design and cell->module, wire->module...
2014-07-31 Clifford WolfMoved some stuff to kernel/yosys.{h,cc}, using Yosys...
2014-07-30 Clifford WolfFixed counting verilog line numbers for "// synopsys...
next