projects
/
yosys.git
/ history
commit
grep
author
committer
pickaxe
?
search:
re
summary
|
shortlog
|
log
|
commit
|
commitdiff
|
tree
first ⋅ prev ⋅
next
Added support for more gate types to simplec back-end
[yosys.git]
/
frontends
/
2017-04-30
Clifford Wolf
Add support for localparam in module header
tree
|
commitdiff
2017-04-26
Clifford Wolf
Add support for `resetall compiler directive
tree
|
commitdiff
2017-03-14
Clifford Wolf
Fix verilog pre-processor for multi-level relative...
tree
|
commitdiff
2017-03-01
Clifford Wolf
Allow $anyconst, etc. in non-formal SV mode
tree
|
commitdiff
2017-02-25
Clifford Wolf
Merge branch 'master' of https://github.com/klammerj...
tree
|
commitdiff
2017-02-25
Clifford Wolf
Merge branch 'master' of github.com:cliffordwolf/yosys
tree
|
commitdiff
2017-02-25
Clifford Wolf
Add $live and $fair cell types, add support for s_event...
tree
|
commitdiff
2017-02-24
Andrew Zonenberg
Merge https://github.com/cliffordwolf/yosys
tree
|
commitdiff
2017-02-23
Clifford Wolf
Add support for SystemVerilog unique, unique0, and...
tree
|
commitdiff
2017-02-23
Clifford Wolf
Preserve string parameters
tree
|
commitdiff
2017-02-23
Clifford Wolf
Added SystemVerilog support for ++ and --
tree
|
commitdiff
2017-02-14
Andrew Zonenberg
Merge https://github.com/cliffordwolf/yosys
tree
|
commitdiff
2017-02-14
Clifford Wolf
Fix incorrect "incompatible re-declaration of wire...
tree
|
commitdiff
2017-02-11
Andrew Zonenberg
Merge https://github.com/cliffordwolf/yosys
tree
|
commitdiff
2017-02-11
Clifford Wolf
Add support for verific mem initialization
tree
|
commitdiff
2017-02-11
Clifford Wolf
Fix another stupid bug in the same line
tree
|
commitdiff
2017-02-11
Clifford Wolf
Add verific support for initialized variables
tree
|
commitdiff
2017-02-11
Clifford Wolf
Improve handling of Verific warnings and error messages
tree
|
commitdiff
2017-02-11
Clifford Wolf
Fix extremely stupid typo
tree
|
commitdiff
2017-02-11
Clifford Wolf
Merge branch 'master' of https://github.com/stv0g/yosys...
tree
|
commitdiff
2017-02-09
Clifford Wolf
Add checker support to verilog front-end
tree
|
commitdiff
2017-02-09
Clifford Wolf
Add "rand" and "rand const" verific support
tree
|
commitdiff
2017-02-09
Andrew Zonenberg
Merge https://github.com/cliffordwolf/yosys
tree
|
commitdiff
2017-02-08
Clifford Wolf
Add SV "rand" and "const rand" support
tree
|
commitdiff
2017-02-08
Clifford Wolf
Add PSL parser mode to verific front-end
tree
|
commitdiff
2017-02-06
Clifford Wolf
Add "read_blif -wideports"
tree
|
commitdiff
2017-02-04
Clifford Wolf
Further improve cover() support
tree
|
commitdiff
2017-02-04
Clifford Wolf
Add $cover cell type and SVA cover() support
tree
|
commitdiff
2017-02-04
Clifford Wolf
Add assert/assume support to verific front-end
tree
|
commitdiff
2017-01-31
Clifford Wolf
Merge branch 'opt_compare_pr' of https://github.com...
tree
|
commitdiff
2017-01-26
Clifford Wolf
Merge branch 'master' of github.com:cliffordwolf/yosys
tree
|
commitdiff
2017-01-17
Clifford Wolf
Add "enum" and "typedef" lexer support
tree
|
commitdiff
2017-01-15
Andrew Zonenberg
Merge https://github.com/cliffordwolf/yosys
tree
|
commitdiff
2017-01-15
Clifford Wolf
Fix bug in AstNode::mem2reg_as_needed_pass2()
tree
|
commitdiff
2017-01-05
Andrew Zonenberg
Merge https://github.com/cliffordwolf/yosys
tree
|
commitdiff
2017-01-05
Clifford Wolf
Fixed handling of local memories in functions
tree
|
commitdiff
2017-01-04
Clifford Wolf
Added handling of local memories and error for local...
tree
|
commitdiff
2017-01-03
Clifford Wolf
Added Verilog $rtoi and $itor support
tree
|
commitdiff
2016-12-23
Andrew Zonenberg
Merge pull request #1 from azonenberg-hk/master
tree
|
commitdiff
2016-12-17
Andrew Zonenberg
Merge https://github.com/cliffordwolf/yosys
tree
|
commitdiff
2016-12-15
Clifford Wolf
Added "verilog_defines" command
tree
|
commitdiff
2016-11-28
Clifford Wolf
Added support for macros as include file names
tree
|
commitdiff
2016-11-28
Clifford Wolf
Bugfix in "read_verilog -D NAME=VAL" handling
tree
|
commitdiff
2016-11-15
Clifford Wolf
Added support for hierarchical defparams
tree
|
commitdiff
2016-11-15
Clifford Wolf
Remember global declarations and defines accross read_v...
tree
|
commitdiff
2016-11-04
Clifford Wolf
Fixed anonymous genblock object names
tree
|
commitdiff
2016-11-01
Clifford Wolf
Some fixes in handling of signed arrays
tree
|
commitdiff
2016-10-22
Clifford Wolf
Added avail params to ilang format, check module params...
tree
|
commitdiff
2016-10-19
Clifford Wolf
No limit for length of lines in BLIF front-end
tree
|
commitdiff
2016-10-14
Clifford Wolf
Added $anyseq cell type
tree
|
commitdiff
2016-10-14
Clifford Wolf
Added $global_clock verilog syntax support for creating...
tree
|
commitdiff
2016-10-11
Clifford Wolf
Added $ff and $_FF_ cell types
tree
|
commitdiff
2016-09-23
Clifford Wolf
Added liberty parser support for types within cell...
tree
|
commitdiff
2016-09-23
Clifford Wolf
Merge branch 'master' of https://github.com/brouhaha...
tree
|
commitdiff
2016-09-18
Clifford Wolf
Added $past, $stable, $rose, $fell SVA functions
tree
|
commitdiff
2016-09-18
Clifford Wolf
Added support for bus interfaces to "read_liberty ...
tree
|
commitdiff
2016-09-06
Clifford Wolf
Added assertpmux
tree
|
commitdiff
2016-09-06
Clifford Wolf
Bugfix in parsing of BLIF latch init values
tree
|
commitdiff
2016-09-06
Clifford Wolf
Avoid creation of bogus initial blocks for assert/assum...
tree
|
commitdiff
2016-08-30
Clifford Wolf
Added $anyconst support to yosys-smtbmc
tree
|
commitdiff
2016-08-30
Clifford Wolf
Removed $aconst cell type
tree
|
commitdiff
2016-08-28
Clifford Wolf
Removed $predict again
tree
|
commitdiff
2016-08-26
Clifford Wolf
Merge branch 'master' of github.com:cliffordwolf/yosys
tree
|
commitdiff
2016-08-26
Clifford Wolf
Merge pull request #215 from frznchckn/to_upstream
tree
|
commitdiff
2016-08-26
Clifford Wolf
Added read_verilog -norestrict -assume-asserts
tree
|
commitdiff
2016-08-25
Clifford Wolf
Improved verilog parser errors
tree
|
commitdiff
2016-08-24
Clifford Wolf
Added SV "restrict" keyword
tree
|
commitdiff
2016-08-22
Clifford Wolf
Fixed bug with memories that do not have a down-to...
tree
|
commitdiff
2016-08-21
Clifford Wolf
Another bugfix in mem2reg code
tree
|
commitdiff
2016-08-21
Clifford Wolf
Minor improvements to AstNode::dumpAst() and AstNode...
tree
|
commitdiff
2016-08-20
Clifford Wolf
Fixed finish_addr handling in $readmemh/$readmemb
tree
|
commitdiff
2016-08-19
Clifford Wolf
Optimize memory address port width in wreduce and memor...
tree
|
commitdiff
2016-08-10
Clifford Wolf
Only allow posedge/negedge with 1 bit wide signals
tree
|
commitdiff
2016-08-06
Clifford Wolf
Fixed bug in parsing real constants
tree
|
commitdiff
2016-07-30
Clifford Wolf
Merge branch 'master' of github.com:cliffordwolf/yosys
tree
|
commitdiff
2016-07-27
Clifford Wolf
Added $anyconst and $aconst
tree
|
commitdiff
2016-07-27
Clifford Wolf
Added "read_verilog -dump_rtlil"
tree
|
commitdiff
2016-07-25
Clifford Wolf
Fixed a verilog parser memory leak
tree
|
commitdiff
2016-07-25
Clifford Wolf
Fixed parsing of empty positional cell ports
tree
|
commitdiff
2016-07-23
Clifford Wolf
No tristate warning message for "read_verilog -lib"
tree
|
commitdiff
2016-07-21
Clifford Wolf
Using $initstate in "initial assume" and "initial assert"
tree
|
commitdiff
2016-07-21
Clifford Wolf
Added $initstate cell type and vlog function
tree
|
commitdiff
2016-07-21
Clifford Wolf
After reading the SV spec, using non-standard predict...
tree
|
commitdiff
2016-07-13
Clifford Wolf
Added basic support for $expect cells
tree
|
commitdiff
2016-07-08
Clifford Wolf
Fixed mem assignment in left-hand-side concatenation
tree
|
commitdiff
2016-07-08
Clifford Wolf
Merge branch 'yosys-0.5-vtr' of https://github.com...
tree
|
commitdiff
2016-06-21
Clifford Wolf
Merge pull request #181 from rubund/input_logic_allowed
tree
|
commitdiff
2016-06-20
Ruben Undheim
Allow defining input ports as "input logic" in SystemVe...
tree
|
commitdiff
2016-06-19
Clifford Wolf
Merge branch 'sv_packages' of https://github.com/rubund...
tree
|
commitdiff
2016-06-18
Ruben Undheim
A few modifications after pull request comments
tree
|
commitdiff
2016-06-18
Clifford Wolf
Added "read_blif -sop"
tree
|
commitdiff
2016-06-18
Ruben Undheim
Added support for SystemVerilog packages with localpara...
tree
|
commitdiff
2016-06-17
Clifford Wolf
Added $sop cell type and "abc -sop"
tree
|
commitdiff
2016-05-27
Clifford Wolf
Fixed procedural assignments to non-unique lvalues...
tree
|
commitdiff
2016-05-27
Clifford Wolf
Fixed access-after-delete bug in mem2reg code
tree
|
commitdiff
2016-05-27
Clifford Wolf
fixed typos in error messages
tree
|
commitdiff
2016-05-20
Clifford Wolf
Merge branch 'master' of https://github.com/Kmanfi...
tree
|
commitdiff
2016-05-20
Clifford Wolf
Small improvements in Verilog front-end docs
tree
|
commitdiff
2016-05-08
Clifford Wolf
Include <cmath> in yosys.h
tree
|
commitdiff
2016-04-23
Andrew Zonenberg
Merge https://github.com/cliffordwolf/yosys
tree
|
commitdiff
next