Bump version
[yosys.git] / frontends /
2021-09-24 Claire XenMerge pull request #3014 from YosysHQ/claire/fix-vgtest
2021-09-23 Zachary SnowFix TOK_ID memory leak in for_initialization
2021-09-21 Zachary Snowsv: support wand and wor of data types
2021-09-21 Zachary Snowverilog: fix multiple AST_PREFIX scope resolution issues
2021-09-13 Marcelina Kościelnickaverilog: Squash flex-triggered warning.
2021-09-02 Miodrag Milanovicupdate required verific version
2021-08-31 Zachary Snowsv: support declaration in generate for initialization
2021-08-30 Zachary Snowsv: support declaration in procedural for initialization
2021-08-20 Miodrag MilanovićMerge pull request #2973 from YosysHQ/micko/optional_ex...
2021-08-20 Miodrag MilanovicMake Verific extensions optional
2021-08-13 Rupert SwarbrickGenerate an RTLIL representation of bind constructs
2021-08-13 Miodrag MilanovićMerge pull request #2932 from YosysHQ/mwk/logger-check...
2021-08-13 Brett Witherspoonsv: improve support for wire and var with user-defined...
2021-08-10 Michael SingerAllow optional comma after last entry in enum
2021-08-06 Marcelina Kościelnickaverilog: Support tri/triand/trior wire types.
2021-08-02 Miodrag MilanovicRequire latest verific
2021-07-30 Zachary Snowgenrtlil: add width detection for AST_PREFIX nodes
2021-07-29 Zachary Snowverilog: save and restore overwritten macro arguments
2021-07-28 Marcelina Kościelnickaverilog: Emit $meminit_v2 cell.
2021-07-21 Miodrag MilanovicUpdate to latest verific
2021-07-17 whitequarkMerge pull request #2879 from whitequark/cxxrtl-fix...
2021-07-16 Rupert SwarbrickAdd support for parsing the SystemVerilog 'bind' construct
2021-07-16 whitequarkMerge pull request #2870 from whitequark/cxxrtl-fix...
2021-07-15 Zachary Snowsv: fix two struct access bugs
2021-07-11 Marcelina Kościelnickartlil: Make Process handling more uniform with Cell...
2021-07-09 Miodrag MilanovicUpdate to latest Verific with extensions for initial...
2021-07-06 Zachary Snowsv: fix a few struct and enum memory leaks
2021-07-05 Claire XenMerge pull request #2835 from YosysHQ/verific_command
2021-07-05 Miodrag MilanovicAdd additional help
2021-06-18 Miodrag MilanovićMerge pull request #2836 from YosysHQ/gatecat/pyosys...
2021-06-17 Zachary Snowsv: fix up end label checking
2021-06-16 Miodrag MilanovicSupport command files in Verific
2021-06-14 Xiretzaverilog: fix leaking of type names in parser
2021-06-14 Xiretzaverilog: fix wildcard port connections leaking memory
2021-06-14 Xiretzaast: delete wires and localparams after finishing const...
2021-06-14 Xiretzaverilog: fix leaking ASTNodes
2021-06-14 Xiretzaast: fix error condition causing assert to fail
2021-06-14 Marcelina Kościelnickaverilog: Squash a memory leak.
2021-06-09 Claire XenMerge pull request #2817 from YosysHQ/claire/fixemails
2021-06-08 Zachary Snowverilog: check for module scope identifiers during...
2021-06-08 Zachary Snowmem2reg: tolerate out of bounds constant accesses
2021-06-07 Claire Xenia WolfFixing old e-mail addresses and deadnames
2021-06-01 Zachary Snowsv: support tasks and functions within packages
2021-05-25 Zachary Snowverilog: fix case expression sign and width handling
2021-05-25 Zachary Snowsv: support remaining assignment operators
2021-05-14 Rupert SwarbrickChange the type of current_module to Module
2021-05-14 Rupert SwarbrickUse range-based for loop in AST::process
2021-05-10 Zachary Snowsv: check validity of package end label
2021-05-08 Marcelina Kościelnickablif: Use library cells' start_offset and upto for...
2021-04-26 Claire XenMerge pull request #2737 from YosysHQ/claire/fix2736
2021-04-26 Claire Xenia WolfRemove duplicates from conns array in JSON front-end...
2021-03-30 Zachary Snowverilog: revise hot comment warnings
2021-03-30 Marcelina Kościelnickapreproc: Fix up conditional handling.
2021-03-24 Zachary Snowast: make design available to process_module()
2021-03-21 Xiretzaverilog: check entire user type stack for type definition
2021-03-19 Zachary Snowsv: allow typenames as function return types
2021-03-19 Miodrag MilanovićMerge pull request #2681 from msinger/fix-issue2606
2021-03-19 Xiretzaverilog: rebuild user_type_stack from globals before...
2021-03-17 Marcelina Kościelnickaast: Use better parameter serialization for paramod...
2021-03-17 Zachary Snowsv: carry over global typedefs from previous files
2021-03-17 Xiretzaverilog: fix buf/not primitives with multiple outputs
2021-03-16 Zachary Snowverilog: support module scope identifiers in parametric...
2021-03-15 Marcelina Kościelnickajson: Add support for memories.
2021-03-14 whitequarkMerge pull request #2658 from zachjs/parameters-across...
2021-03-12 Zachary Snowsv: allow globals in one file to depend on globals...
2021-03-12 whitequarkMerge pull request #2653 from zachjs/global-parameter
2021-03-11 whitequarkMerge pull request #2642 from whitequark/cxxrtl-noproc...
2021-03-11 Zachary Snowverilog: disallow overriding global parameters
2021-03-09 whitequarkMerge pull request #2643 from zachjs/fix-param-no-defau...
2021-03-08 Marcelina Kościelnickaverilog: Use proc memory writes in the frontend.
2021-03-08 Marcelina KościelnickaAdd support for memory writes in processes.
2021-03-07 Zachary SnowFix param without default log line
2021-03-07 whitequarkMerge pull request #2626 from zachjs/param-no-default
2021-03-07 whitequarkMerge pull request #2632 from zachjs/width-limit
2021-03-06 Zachary Snowsv: fix some edge cases for unbased unsized literals
2021-03-04 Zachary Snowverilog: impose limit on maximum expression width
2021-03-04 Claire XenUpdate README
2021-03-02 Zachary Snowsv: support for parameters without default values
2021-03-02 whitequarkMerge pull request #2620 from zachjs/port-int-types
2021-03-01 Zachary Snowverilog: fix sizing of ports with int types in module...
2021-03-01 Zachary Snowverilog: fix handling of nested ifdef directives
2021-03-01 Claire XenMerge pull request #2523 from tomverbeure/define_synthesis
2021-03-01 Claire XenMerge pull request #2524 from bkbncn/patch-1
2021-03-01 whitequarkMerge pull request #2617 from RobertBaruch/doc
2021-03-01 whitequarkMerge pull request #2615 from zachjs/genrtlil-conflict
2021-03-01 whitequarkMerge pull request #2618 from zachjs/int-types
2021-02-28 Zachary Snowsv: extended support for integer types
2021-02-26 Zachary Snowgenrtlil: improve name conflict error messaging
2021-02-26 Michael SingerImplement $countones, $isunknown and $onehot{,0}
2021-02-26 Michael SingerImplement $countbits function
2021-02-26 Zachary SnowExtend simplify() recursion warning
2021-02-25 whitequarkMerge pull request #2554 from hzeller/master
2021-02-25 Marcelina KościelnickaFix handling of unique/unique0/priority cases in the...
2021-02-24 TimRudyExtend "delay" expressions to handle pair and triplet...
2021-02-23 Marcelina Kościelnickafrontend: Make helper functions for printing locations.
2021-02-23 whitequarkMerge pull request #2594 from zachjs/func-arg-width
2021-02-22 Karol Gugalafrontend: json: parse negative values
2021-02-21 whitequarkMerge pull request #2586 from zachjs/tern-recurse
2021-02-21 whitequarkMerge pull request #2591 from zachjs/verilog-preproc...
2021-02-21 Zachary Snowverilog: fix sizing of constant args for tasks/functions
next