verilog: fix const func eval with upto variables
[yosys.git] / frontends /
2022-02-11 Zachary Snowverilog: fix const func eval with upto variables
2022-02-11 Claire XenMerge pull request #2376 from nmoroze/clk2ff-better...
2022-02-11 Miodrag MilanovićMerge pull request #3164 from zachjs/fix-ast-warn
2022-02-11 Claire XenMerge branch 'master' into clk2ff-better-names
2022-02-11 Claire XenMerge pull request #2019 from boqwxp/glift
2022-02-09 Miodrag MilanovićMerge pull request #3193 from YosysHQ/micko/verific_f
2022-02-09 Miodrag MilanovicAdd ability to override verilog mode for verific -f...
2022-02-07 Miodrag MilanovićMerge pull request #3185 from YosysHQ/micko/co_sim
2022-02-02 Miodrag MilanovićMerge pull request #3183 from YosysHQ/micko/nto1mux
2022-02-02 Miodrag MilanovicUse bmux for NTO1MUX
2022-01-19 Miodrag MilanovićMerge pull request #3120 from Icenowy/anlogic-bram
2022-01-18 Zachary Snowfix dumpAst() compilation warning
2022-01-17 N. EngelhardtMerge pull request #3145 from nakengelhardt/advertise_s...
2022-01-08 Zachary Snowsv: auto add nosync to certain always_comb local vars
2022-01-08 Zachary Snowsv: fix size cast internal expression extension
2022-01-03 Zachary Snowsv: fix size cast clipping expression width
2021-12-25 CatherineMerge pull request #3127 from whitequark/cxxrtl-no...
2021-12-18 Zachary Snowfix width detection of array querying function in case...
2021-12-16 CatherineMerge pull request #3115 from whitequark/issue-3112
2021-12-16 CatherineMerge pull request #3114 from whitequark/issue-3113
2021-12-16 Thomas Sailerpreprocessor: do not destroy double slash escaped ident...
2021-12-13 Claire XenMerge pull request #3108 from YosysHQ/claire/verificdefs
2021-12-13 Claire Xenia WolfAdd YOSYS to the implicitly defined verilog macros...
2021-12-10 Miodrag MilanovićMerge pull request #3102 from YosysHQ/claire/enumxz
2021-12-10 Claire Xenia WolfFix verific import of enum values with x and/or z
2021-12-10 Miodrag MilanovićMerge pull request #3097 from YosysHQ/modport
2021-12-10 Claire XenUpdate verific.cc
2021-12-08 Miodrag MilanovicIf direction NONE use that from first bit
2021-12-03 Miodrag MilanovicMake sure cell names are unique for wide operators
2021-11-16 Kamil RakoczySupport parameters using struct as a wiretype (#3050)
2021-11-10 Claire XenMerge pull request #3075 from YosysHQ/micko/verific_mem...
2021-11-10 Claire XenMerge pull request #3077 from YosysHQ/claire/genlib
2021-11-10 Miodrag MilanovicNo need to alocate more memory than used
2021-11-10 Kamil Rakoczygenrtlil: Fix displaying debug info in packages
2021-11-05 Miodrag MilanovićMerge pull request #3067 from YosysHQ/aki/ci_update
2021-11-01 Claire XenMerge pull request #3068 from YosysHQ/claire/verific_cfg
2021-11-01 Claire Xenia WolfAdd "verific -cfg" command
2021-10-31 Claire XenMerge pull request #3066 from YosysHQ/claire/verific_gclk
2021-10-31 Claire Xenia WolfFix verific gclk handling for async-load FFs
2021-10-27 Miodrag MilanovićMerge pull request #3063 from YosysHQ/micko/verific_aldff
2021-10-27 Miodrag MilanovicEnable async load dff emit by default in Verific
2021-10-27 Miodrag MilanovicRevert "Compile option for enabling async load verific...
2021-10-26 Zachary Snowverilog: use derived module info to elaborate cell...
2021-10-26 Rupert SwarbrickSplit out logic for reprocessing an AstModule
2021-10-25 Miodrag MilanovicCompile option for enabling async load verific support
2021-10-21 Claire XenMerge pull request #3057 from YosysHQ/claire/verific_la...
2021-10-21 Claire Xenia WolfFix verific.cc PRIM_DLATCH handling
2021-10-21 Claire Xenia WolfInitial Verific impoter support for {PRIM,WIDE_OPER...
2021-10-20 Miodrag MilanovicOption to disable verific VHDL support
2021-10-15 Claire XenMerge pull request #3044 from YosysHQ/micko/verific_bufif1
2021-10-14 Miodrag MilanovicSupport PRIM_BUFIF1 primitive
2021-10-11 Claire XenMerge pull request #3039 from YosysHQ/claire/verific_aldff
2021-10-11 Claire Xenia WolfAdd Verific adffe/dffsre/aldffe FIXMEs
2021-10-11 Claire XenMerge pull request #3040 from YosysHQ/micko/split_modul...
2021-10-11 Claire XenMerge pull request #3041 from YosysHQ/mmicko/module_attr
2021-10-10 Miodrag MilanovicImport module attributes from Verific
2021-10-08 Claire Xenia WolfFixes and add comments for open FIXME items
2021-10-08 Claire Xenia WolfAdd support for $aldff flip-flops to verific importer
2021-10-04 Miodrag Milanovicverific set db_infer_set_reset_registers
2021-10-02 Zachary SnowSpecify minimum bison version 3.0+
2021-09-24 Claire XenMerge pull request #3014 from YosysHQ/claire/fix-vgtest
2021-09-23 Zachary SnowFix TOK_ID memory leak in for_initialization
2021-09-21 Zachary Snowsv: support wand and wor of data types
2021-09-21 Zachary Snowverilog: fix multiple AST_PREFIX scope resolution issues
2021-09-13 Marcelina Kościelnickaverilog: Squash flex-triggered warning.
2021-09-02 Miodrag Milanovicupdate required verific version
2021-08-31 Zachary Snowsv: support declaration in generate for initialization
2021-08-30 Zachary Snowsv: support declaration in procedural for initialization
2021-08-20 Miodrag MilanovićMerge pull request #2973 from YosysHQ/micko/optional_ex...
2021-08-20 Miodrag MilanovicMake Verific extensions optional
2021-08-13 Rupert SwarbrickGenerate an RTLIL representation of bind constructs
2021-08-13 Miodrag MilanovićMerge pull request #2932 from YosysHQ/mwk/logger-check...
2021-08-13 Brett Witherspoonsv: improve support for wire and var with user-defined...
2021-08-10 Michael SingerAllow optional comma after last entry in enum
2021-08-06 Marcelina Kościelnickaverilog: Support tri/triand/trior wire types.
2021-08-02 Miodrag MilanovicRequire latest verific
2021-07-30 Zachary Snowgenrtlil: add width detection for AST_PREFIX nodes
2021-07-29 Zachary Snowverilog: save and restore overwritten macro arguments
2021-07-28 Marcelina Kościelnickaverilog: Emit $meminit_v2 cell.
2021-07-21 Miodrag MilanovicUpdate to latest verific
2021-07-17 whitequarkMerge pull request #2879 from whitequark/cxxrtl-fix...
2021-07-16 Rupert SwarbrickAdd support for parsing the SystemVerilog 'bind' construct
2021-07-16 whitequarkMerge pull request #2870 from whitequark/cxxrtl-fix...
2021-07-15 Zachary Snowsv: fix two struct access bugs
2021-07-11 Marcelina Kościelnickartlil: Make Process handling more uniform with Cell...
2021-07-09 Miodrag MilanovicUpdate to latest Verific with extensions for initial...
2021-07-06 Zachary Snowsv: fix a few struct and enum memory leaks
2021-07-05 Claire XenMerge pull request #2835 from YosysHQ/verific_command
2021-07-05 Miodrag MilanovicAdd additional help
2021-06-18 Miodrag MilanovićMerge pull request #2836 from YosysHQ/gatecat/pyosys...
2021-06-17 Zachary Snowsv: fix up end label checking
2021-06-16 Miodrag MilanovicSupport command files in Verific
2021-06-14 Xiretzaverilog: fix leaking of type names in parser
2021-06-14 Xiretzaverilog: fix wildcard port connections leaking memory
2021-06-14 Xiretzaast: delete wires and localparams after finishing const...
2021-06-14 Xiretzaverilog: fix leaking ASTNodes
2021-06-14 Xiretzaast: fix error condition causing assert to fail
2021-06-14 Marcelina Kościelnickaverilog: Squash a memory leak.
2021-06-09 Claire XenMerge pull request #2817 from YosysHQ/claire/fixemails
2021-06-08 Zachary Snowverilog: check for module scope identifiers during...
next