Fix build error with clang
[yosys.git] / frontends /
2018-10-12 Ruben UndheimFix build error with clang
2018-10-12 Ruben UndheimSupport for 'modports' for System Verilog interfaces
2018-10-12 Ruben UndheimSynthesis support for SystemVerilog interfaces
2018-10-07 Clifford WolfImprove Verific importer blackbox handling
2018-10-05 Clifford WolfMerge pull request #651 from ARandomOWL/stdcells_fix
2018-10-05 Clifford WolfMerge pull request #654 from mithro/patch-1
2018-10-05 Clifford WolfFix compiler warning in verific.cc
2018-10-02 Clifford WolfMerge pull request #645 from daveshah1/ecp5_dram_fix
2018-10-02 Clifford WolfMerge pull request #646 from tomverbeure/issue594
2018-10-02 Tom VerbeureFix for issue 594.
2018-10-01 Dan GisselquistAdd read_verilog $changed support
2018-09-30 Clifford WolfMerge branch 'yosys-0.8-rc' of github.com:YosysHQ/yosys
2018-09-30 Clifford WolfFix handling of $past 2nd argument in read_verilog
2018-09-28 Clifford WolfMerge branch 'yosys-0.8-rc' of github.com:YosysHQ/yosys
2018-09-24 Clifford WolfAdd "read_verilog -noassert -noassume -assert-assumes"
2018-09-23 Clifford WolfAdded support for ommited "parameter" in Verilog-2001...
2018-09-14 Clifford WolfMerge pull request #625 from aman-goel/master
2018-09-04 Clifford WolfAdd "verific -L <int>" option
2018-08-27 Jim LawsonMerge branch 'master' into firrtl+modules+shiftfixes
2018-08-27 Jim LawsonMerge pull request #3 from YosysHQ/master
2018-08-27 Clifford WolfAdd "make coverage"
2018-08-23 Clifford WolfMerge pull request #610 from udif/udif_specify_round2
2018-08-23 Clifford WolfMerge pull request #614 from udif/pr_disable_dump_ptr
2018-08-23 Udi FinkelsteinAdded -no_dump_ptr flag for AST dump options in 'read_v...
2018-08-22 Jim LawsonMerge pull request #1 from YosysHQ/master
2018-08-22 Clifford WolfAdd "verific -work" help message
2018-08-22 Clifford WolfAdd Verific -work parameter
2018-08-20 Udi FinkelsteinFixed all known specify/endspecify issues, without...
2018-08-19 Udi FinkelsteinYosys can now parse https://github.com/verilog-to-routi...
2018-08-19 Clifford WolfMerge pull request #606 from cr1901/show-win
2018-08-18 Aman GoelMerge pull request #3 from YosysHQ/master
2018-08-16 Clifford WolfAdd "verific -set-<severity> <msg_id>.."
2018-08-16 Clifford WolfVerific workaround for VIPER ticket 13851
2018-08-15 Udi FinkelsteinA few minor enhancements to specify block parsing.
2018-08-15 Clifford WolfMerge pull request #573 from cr1901/msys-64
2018-08-15 Clifford WolfMerge pull request #591 from hzeller/virtual-override
2018-08-15 Clifford WolfMerge pull request #590 from hzeller/remaining-file...
2018-08-15 Clifford WolfMerge pull request #576 from cr1901/no-resource
2018-08-15 Clifford WolfMerge pull request #592 from japm48/master
2018-08-15 Clifford WolfMerge pull request #513 from udif/pr_reg_wire_error
2018-08-15 Clifford WolfMerge pull request #562 from udif/pr_fix_illegal_port_decl
2018-08-14 Clifford WolfMerge pull request #602 from litghost/add_eblif_extension
2018-08-08 Clifford WolfFixed use of char array for string in blifparse error...
2018-08-08 Clifford WolfMerge pull request #596 from litghost/extend_blif_parser
2018-08-08 litghostReport error reason on same line as syntax error.
2018-08-03 litghostUse log_warning which does not immediately terminate.
2018-08-02 litghostAdd BLIF parsing support for .conn and .cname
2018-07-22 Clifford WolfVerific: Produce errors for instantiating unknown module
2018-07-21 Henner ZellerConsistent use of 'override' for virtual methods in...
2018-07-21 Henner ZellerFix remaining log_file_error(); emit dependent file...
2018-07-20 Clifford WolfMerge pull request #586 from hzeller/more-sourcepos...
2018-07-20 Henner ZellerConvert more log_error() to log_file_error() where...
2018-07-20 Clifford WolfMerge pull request #585 from hzeller/use-file-warning...
2018-07-20 Henner ZellerUse log_file_warning(), log_file_error() functions.
2018-07-20 Clifford WolfMerge pull request #584 from hzeller/provide-source...
2018-07-19 Henner ZellerProvide source-location logging.
2018-07-18 Aman GoelMerge pull request #2 from YosysHQ/master
2018-07-17 Clifford WolfFix handling of eventually properties in verific importer
2018-07-16 Clifford WolfFix verific -vlog-incdir and -vlog-libdir handling
2018-07-16 Clifford WolfMerge pull request #581 from daveshah1/ecp5
2018-07-16 Clifford WolfFix "read -incdir"
2018-07-16 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys
2018-07-16 Clifford WolfAdd "read -incdir"
2018-07-04 Aman GoelMerge branch 'YosysHQ-master'
2018-07-04 Aman GoelMerging with official repo
2018-06-29 Clifford WolfFix verific eventually handling
2018-06-29 Clifford WolfAdd verific support for eventually properties
2018-06-29 Clifford WolfAdd "verific -formal" and "read -formal"
2018-06-28 Clifford WolfAdd "read -sv -D" support
2018-06-28 Clifford WolfAdd "read -undef"
2018-06-28 Clifford WolfFix handling of signed memories
2018-06-22 Clifford WolfAdd YOSYS_NOVERIFIC env variable for temporarily disabl...
2018-06-21 Clifford WolfAdd simplified "read" command, enable extnets in implic...
2018-06-20 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys
2018-06-20 Clifford WolfAdd automatic verific import in hierarchy command
2018-06-15 Clifford WolfBugfix in liberty parser (as suggested by aiju in ...
2018-06-06 Udi FinkelsteinDetect illegal port declaration, e.g input/output/inout...
2018-06-05 Udi FinkelsteinModified errors into warnings
2018-06-01 Clifford WolfAdd (* gclk *) attribute support
2018-05-28 Clifford WolfAdd comment to VIPER #13453 work-around
2018-05-25 Clifford WolfFix Verific handling of single-bit anyseq/anyconst...
2018-05-24 Clifford WolfFix VerificClocking for cases where Verific generates...
2018-05-24 Clifford WolfFix verific handling of anyconst/anyseq attributes
2018-05-17 Clifford WolfMerge pull request #550 from jimparis/yosys-upstream
2018-05-17 Jim ParisSupport SystemVerilog `` extension for macros
2018-05-17 Jim ParisSkip spaces around macro arguments
2018-05-15 Clifford WolfFix handling of anyconst/anyseq attrs in VHDL code...
2018-05-13 Clifford WolfMerge pull request #505 from thefallenidealist/FreeBSD_...
2018-05-12 Sergiusz BazanskiAlso interpret '&' in liberty functions
2018-05-05 Clifford WolfFurther improve handling of zero-length SVA consecutive...
2018-05-05 Clifford WolfFix handling of zero-length SVA consecutive repetition
2018-05-04 Clifford WolfMerge pull request #537 from mithro/yosys-vpr
2018-05-03 Clifford WolfReplace -ignore_redef with -[no]overwrite
2018-05-03 Dan GisselquistSupport more character literals
2018-04-13 Clifford WolfAdd statement labels for immediate assertions
2018-04-12 Clifford WolfAllow "property" in immediate assertions
2018-04-07 Clifford WolfAdd PRIM_HDL_ASSERTION support to Verific importer
2018-04-06 Clifford WolfFix handling of $global_clocking in Verific
2018-04-06 Clifford WolfAdd read_verilog anyseq/anyconst/allseq/allconst attrib...
2018-04-06 Clifford WolfAdd Verific anyseq/anyconst/allseq/allconst attribute...
next