Fixed keep attribute on wires in opt_clean
[yosys.git] / frontends /
2013-11-08 Clifford WolfImplemented const folding of ternary op with undef...
2013-11-07 Clifford WolfFixed handling of power operator
2013-11-07 Clifford WolfFixed more extend vs. extend_u0 issues
2013-11-07 Clifford WolfDisabled const folding of ternary op when select is...
2013-11-07 Clifford WolfRenamed extend_un0() to extend_u0() and use it in genrtlil
2013-11-07 Clifford WolfFixed sign handling in constants
2013-11-07 Clifford WolfFixed const folding in corner cases with parameters
2013-11-07 Clifford WolfFixed width detection for replicate operator
2013-11-07 Clifford WolfFixed at_zero evaluation of dynamic ranges
2013-11-07 Clifford WolfVarious fixes for correct parameter support
2013-11-07 Clifford WolfFixed the fix for propagation of width hints for $signe...
2013-11-06 Clifford WolfFixed propagation of width hints for $signed() and...
2013-11-06 Clifford WolfAdditional fixes for undef propagation in concat and...
2013-11-06 Clifford WolfImproved width extension with regard to undef propagation
2013-11-04 Clifford WolfAnother fix for early width and sign detection in ast...
2013-11-04 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2013-11-04 Clifford WolfFixed const folding of ternary operator
2013-11-04 Clifford WolfUse proper bit width ans sign extension for const folding
2013-11-04 Clifford WolfFixes for early width and sign detection in ast simplifier
2013-11-04 Clifford Wolffurther improved early width and sign detection in...
2013-11-03 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2013-11-03 Clifford WolfFixed detectSignWidthWorker (ast frontend) for AST_CONCAT
2013-11-02 Clifford WolfBehavior should be identical now to rev. 0b4a64ac6adbd6...
2013-11-02 Clifford WolfVarious ast changes for early expression width detectio...
2013-10-24 Clifford WolfFixed handling of boolean attributes (frontends)
2013-10-24 Clifford WolfFixed handling of boolean attributes (kernel)
2013-10-23 Clifford WolfFixed parsing of value-less attributes in ilang
2013-08-21 Clifford WolfMerge pull request #10 from hansiglaser/master
2013-08-21 Johann Glaserfixed Verilog parser filename and line numbering issue...
2013-08-20 Clifford WolfMerge pull request #9 from hansiglaser/master
2013-08-20 Johann GlaserAdded support for include directories with the new...
2013-08-20 Clifford WolfMerge pull request #8 from hansiglaser/master
2013-08-20 Johann GlaserAdded support for notif0/notif1 primitives
2013-08-19 Clifford WolfFixed width and sign detection for ** operator
2013-08-19 Clifford WolfAdded support for bufif0/bufif1 primitives
2013-08-19 Clifford WolfImproved ast dumping (ast/verilog frontend)
2013-08-12 Clifford WolfAdded support for "2**n" shifter encoding
2013-08-09 Clifford WolfAdded $div and $mod technology mapping
2013-07-27 Clifford WolfAdded "design" command (-reset, -save, -load)
2013-07-12 Clifford WolfMore fixes in ternary op sign handling
2013-07-11 Clifford WolfFixed sign handling in ternary operator
2013-07-11 Clifford WolfAnother vloghammer related bugfix
2013-07-09 Clifford WolfFixed sign propagation in bit-wise operators
2013-07-09 Clifford WolfMore fixes in ast expression sign/width handling
2013-07-09 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2013-07-09 Clifford WolfMajor redesign of expr width/sign detecion (verilog...
2013-07-07 Clifford WolfFixed another bug found using vloghammer
2013-07-07 Clifford WolfFixed AST_CONSTANT node generation
2013-07-04 Clifford WolfAdded defparam support to Verilog/AST frontend
2013-06-13 Clifford WolfMore fixes for bugs found using xsthammer
2013-06-11 Clifford WolfFurther improved and extended xsthammer
2013-06-10 Clifford WolfSign-extension related fixes in SatGen and AST frontend
2013-06-10 Clifford WolfFixes and improvements in AST const folding
2013-06-10 Clifford WolfEnabled AST/Verilog front-end optimizations per default
2013-06-07 Clifford WolfAdded SAT generator and simple sat_solve command
2013-05-24 Clifford WolfAdded log_assert() api
2013-05-23 Clifford WolfFixed memory leak in ilang frontend
2013-05-19 Clifford WolfMerge pull request #6 from hansiglaser/master
2013-05-19 Johann Glaseradded option '-Dname[=definition]' to command 'read_ver...
2013-05-16 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2013-05-16 Clifford WolfMerge branch 'bugfix'
2013-05-16 Clifford WolfFixed synthesis of functions in latched blocks
2013-05-07 Clifford WolfAdded support for verilog === operator
2013-04-26 Clifford WolfFixed handling of positional module parameters
2013-04-26 Clifford WolfOnly use sha1 checksums for names of parametric modules...
2013-04-13 Clifford WolfFixed a bug in AST frontend for cases with non-blocking...
2013-03-31 Clifford WolfNow only use value from "initial" when no matching...
2013-03-31 Clifford WolfAdded AST_INITIAL (before verilog "initial" was mapped...
2013-03-28 Clifford WolfImplemented proper handling of stub placeholder modules
2013-03-26 Clifford WolfImprovements and bugfixes for generate blocks with...
2013-03-26 Clifford WolfFixed handling of unconditional generate blocks
2013-03-25 Clifford WolfAdded nosync attribute and some async reset related...
2013-03-24 Clifford WolfAdded mem2reg option to verilog frontend
2013-03-24 Clifford WolfAnother fix in mem2reg ast simplify logic
2013-03-24 Clifford WolfImproved mem2reg handling in ast simplifier
2013-03-23 Clifford WolfTiny fixes to verilog parser
2013-03-01 Clifford WolfAdded help messages to ilang and verilog frontends
2013-02-27 Clifford WolfMoved stand-alone libs to libs/ directory and added...
2013-02-26 Clifford WolfAdded support for verilog genblock[index].member syntax
2013-01-16 Clifford WolfAdded support for "always @(*)"
2013-01-05 Clifford Wolfadded .gitignore files
2013-01-05 Clifford Wolfinitial import