Improve smt2 encodings of assert/assume/cover, better wire_smt2 help msg
[yosys.git] / frontends /
2017-03-01 Clifford WolfAllow $anyconst, etc. in non-formal SV mode
2017-02-25 Clifford WolfMerge branch 'master' of https://github.com/klammerj...
2017-02-25 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2017-02-25 Clifford WolfAdd $live and $fair cell types, add support for s_event...
2017-02-24 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2017-02-23 Clifford WolfAdd support for SystemVerilog unique, unique0, and...
2017-02-23 Clifford WolfPreserve string parameters
2017-02-23 Clifford WolfAdded SystemVerilog support for ++ and --
2017-02-14 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2017-02-14 Clifford WolfFix incorrect "incompatible re-declaration of wire...
2017-02-11 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2017-02-11 Clifford WolfAdd support for verific mem initialization
2017-02-11 Clifford WolfFix another stupid bug in the same line
2017-02-11 Clifford WolfAdd verific support for initialized variables
2017-02-11 Clifford WolfImprove handling of Verific warnings and error messages
2017-02-11 Clifford WolfFix extremely stupid typo
2017-02-11 Clifford WolfMerge branch 'master' of https://github.com/stv0g/yosys...
2017-02-09 Clifford WolfAdd checker support to verilog front-end
2017-02-09 Clifford WolfAdd "rand" and "rand const" verific support
2017-02-09 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2017-02-08 Clifford WolfAdd SV "rand" and "const rand" support
2017-02-08 Clifford WolfAdd PSL parser mode to verific front-end
2017-02-06 Clifford WolfAdd "read_blif -wideports"
2017-02-04 Clifford WolfFurther improve cover() support
2017-02-04 Clifford WolfAdd $cover cell type and SVA cover() support
2017-02-04 Clifford WolfAdd assert/assume support to verific front-end
2017-01-31 Clifford WolfMerge branch 'opt_compare_pr' of https://github.com...
2017-01-26 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2017-01-17 Clifford WolfAdd "enum" and "typedef" lexer support
2017-01-15 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2017-01-15 Clifford WolfFix bug in AstNode::mem2reg_as_needed_pass2()
2017-01-05 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2017-01-05 Clifford WolfFixed handling of local memories in functions
2017-01-04 Clifford WolfAdded handling of local memories and error for local...
2017-01-03 Clifford WolfAdded Verilog $rtoi and $itor support
2016-12-23 Andrew ZonenbergMerge pull request #1 from azonenberg-hk/master
2016-12-17 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-12-15 Clifford WolfAdded "verilog_defines" command
2016-11-28 Clifford WolfAdded support for macros as include file names
2016-11-28 Clifford WolfBugfix in "read_verilog -D NAME=VAL" handling
2016-11-15 Clifford WolfAdded support for hierarchical defparams
2016-11-15 Clifford WolfRemember global declarations and defines accross read_v...
2016-11-04 Clifford WolfFixed anonymous genblock object names
2016-11-01 Clifford WolfSome fixes in handling of signed arrays
2016-10-22 Clifford WolfAdded avail params to ilang format, check module params...
2016-10-19 Clifford WolfNo limit for length of lines in BLIF front-end
2016-10-14 Clifford WolfAdded $anyseq cell type
2016-10-14 Clifford WolfAdded $global_clock verilog syntax support for creating...
2016-10-11 Clifford WolfAdded $ff and $_FF_ cell types
2016-09-23 Clifford WolfAdded liberty parser support for types within cell...
2016-09-23 Clifford WolfMerge branch 'master' of https://github.com/brouhaha...
2016-09-18 Clifford WolfAdded $past, $stable, $rose, $fell SVA functions
2016-09-18 Clifford WolfAdded support for bus interfaces to "read_liberty ...
2016-09-06 Clifford WolfAdded assertpmux
2016-09-06 Clifford WolfBugfix in parsing of BLIF latch init values
2016-09-06 Clifford WolfAvoid creation of bogus initial blocks for assert/assum...
2016-08-30 Clifford WolfAdded $anyconst support to yosys-smtbmc
2016-08-30 Clifford WolfRemoved $aconst cell type
2016-08-28 Clifford WolfRemoved $predict again
2016-08-26 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2016-08-26 Clifford WolfMerge pull request #215 from frznchckn/to_upstream
2016-08-26 Clifford WolfAdded read_verilog -norestrict -assume-asserts
2016-08-25 Clifford WolfImproved verilog parser errors
2016-08-24 Clifford WolfAdded SV "restrict" keyword
2016-08-22 Clifford WolfFixed bug with memories that do not have a down-to...
2016-08-21 Clifford WolfAnother bugfix in mem2reg code
2016-08-21 Clifford WolfMinor improvements to AstNode::dumpAst() and AstNode...
2016-08-20 Clifford WolfFixed finish_addr handling in $readmemh/$readmemb
2016-08-19 Clifford WolfOptimize memory address port width in wreduce and memor...
2016-08-10 Clifford WolfOnly allow posedge/negedge with 1 bit wide signals
2016-08-06 Clifford WolfFixed bug in parsing real constants
2016-07-30 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2016-07-27 Clifford WolfAdded $anyconst and $aconst
2016-07-27 Clifford WolfAdded "read_verilog -dump_rtlil"
2016-07-25 Clifford WolfFixed a verilog parser memory leak
2016-07-25 Clifford WolfFixed parsing of empty positional cell ports
2016-07-23 Clifford WolfNo tristate warning message for "read_verilog -lib"
2016-07-21 Clifford WolfUsing $initstate in "initial assume" and "initial assert"
2016-07-21 Clifford WolfAdded $initstate cell type and vlog function
2016-07-21 Clifford WolfAfter reading the SV spec, using non-standard predict...
2016-07-13 Clifford WolfAdded basic support for $expect cells
2016-07-08 Clifford WolfFixed mem assignment in left-hand-side concatenation
2016-07-08 Clifford WolfMerge branch 'yosys-0.5-vtr' of https://github.com...
2016-06-21 Clifford WolfMerge pull request #181 from rubund/input_logic_allowed
2016-06-20 Ruben UndheimAllow defining input ports as "input logic" in SystemVe...
2016-06-19 Clifford WolfMerge branch 'sv_packages' of https://github.com/rubund...
2016-06-18 Ruben UndheimA few modifications after pull request comments
2016-06-18 Clifford WolfAdded "read_blif -sop"
2016-06-18 Ruben UndheimAdded support for SystemVerilog packages with localpara...
2016-06-17 Clifford WolfAdded $sop cell type and "abc -sop"
2016-05-27 Clifford WolfFixed procedural assignments to non-unique lvalues...
2016-05-27 Clifford WolfFixed access-after-delete bug in mem2reg code
2016-05-27 Clifford Wolffixed typos in error messages
2016-05-20 Clifford WolfMerge branch 'master' of https://github.com/Kmanfi...
2016-05-20 Clifford WolfSmall improvements in Verilog front-end docs
2016-05-08 Clifford WolfInclude <cmath> in yosys.h
2016-04-23 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-04-22 Clifford WolfAdded support for "active high" and "active low" latche...
2016-04-21 Clifford WolfAdded "yosys -D" feature
2016-04-21 Clifford WolfFixed handling of parameters and const functions in...
next