Improvements in "test_cell -vlog"
[yosys.git] / frontends /
2014-08-23 Clifford WolfRemoved compatbility.{h,cc}: Not using open_memstream...
2014-08-23 Clifford WolfChanged frontend-api from FILE to std::istream
2014-08-22 Clifford WolfAdded emscripten (emcc) support to build system and...
2014-08-22 Clifford WolfAdded support for non-standard <plugin>:<c_name> DPI...
2014-08-22 Clifford WolfArchibald Rust and Clifford Wolf: ffi-based dpi_call()
2014-08-21 Clifford WolfFixed small memory leak in ast simplify
2014-08-21 Clifford WolfAdded support for DPI function with different names...
2014-08-21 Clifford WolfAdded AstNode::asInt()
2014-08-21 Clifford WolfFixed memory leak in DPI function calls
2014-08-21 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2014-08-21 Clifford WolfAdded Verilog/AST support for DPI functions (dpi_call...
2014-08-21 Clifford WolfAdded support for global tasks and functions
2014-08-18 Clifford WolfAdded "via_celltype" attribute on task/func
2014-08-17 Clifford WolfAdded const folding of AST_CASE to AST simplifier
2014-08-17 Clifford WolfImproved AST ProcessGenerator performance
2014-08-16 Clifford WolfUse stackmap<> in AST ProcessGenerator
2014-08-16 Clifford WolfAdded module->uniquify()
2014-08-16 Clifford WolfAST ProcessGenerator: replaced subst_*_{from,to} with...
2014-08-15 Clifford WolfRenamed $_INV_ cell type to $_NOT_
2014-08-14 Clifford WolfFixed bug in "read_verilog -ignore_redef"
2014-08-14 Clifford WolfAdded RTLIL::SigSpec::to_sigbit_map()
2014-08-14 Clifford WolfChanged the AST genWidthRTLIL subst interface to use...
2014-08-14 Clifford WolfFixed line numbers when using here-doc macros
2014-08-14 Clifford WolfFixed handling of task outputs
2014-08-14 Clifford WolfAdded module->ports
2014-08-13 Clifford WolfAdded support for non-standard """ macro bodies
2014-08-12 Clifford WolfFixed building verific bindings
2014-08-07 Clifford WolfAlso allow "module foobar(input foo, output bar, ....
2014-08-06 Clifford WolfAdded AST_MULTIRANGE (arrays with more than 1 dimension)
2014-08-05 Clifford WolfImproved scope resolution of local regs in Verilog...
2014-08-05 Clifford WolfFixed AST handling of variables declared inside a funct...
2014-08-04 Clifford WolfAdded support for non-standard "module mod_name(.....
2014-08-02 Clifford WolfMore bugfixes related to new RTLIL::IdString
2014-08-02 Clifford WolfMore cleanups related to RTLIL::IdString usage
2014-08-01 Clifford WolfPreparations for RTLIL::IdString redesign: cleanup...
2014-08-01 Clifford WolfReplaced sha1 implementation
2014-07-31 Clifford WolfFixed build of verific bindings
2014-07-31 Clifford WolfRenamed port access function on RTLIL::Cell, added...
2014-07-31 Clifford WolfAdded module->design and cell->module, wire->module...
2014-07-31 Clifford WolfMoved some stuff to kernel/yosys.{h,cc}, using Yosys...
2014-07-30 Clifford WolfFixed counting verilog line numbers for "// synopsys...
2014-07-29 Clifford WolfFixed Verilog pre-processor for files with no trailing...
2014-07-29 Clifford WolfAdded $shift and $shiftx cell types (needed for correct...
2014-07-28 Clifford WolfRemoved left over debug code
2014-07-28 Clifford WolfFixed part selects of parameters
2014-07-28 Clifford WolfSet results of out-of-bounds static bit/part select...
2014-07-28 Clifford WolfFixed RTLIL code generator for part select of parameter
2014-07-28 Clifford WolfFixed width detection for part selects
2014-07-28 Clifford WolfAdded support for "upto" wires to Verilog front- and...
2014-07-28 Clifford WolfAdded wire->upto flag for signals such as "wire [0...
2014-07-28 Clifford WolfUsing log_assert() instead of assert()
2014-07-28 Clifford WolfFixed signdness detection of expressions with bit-...
2014-07-27 Clifford WolfAdded proper Design->addModule interface
2014-07-27 Clifford WolfFixed verific bindings for new RTLIL api
2014-07-27 Clifford WolfFixed ilang parser for new RTLIL API
2014-07-27 Clifford WolfRefactoring: Renamed RTLIL::Design::modules to modules_
2014-07-26 Clifford WolfRefactoring: Renamed RTLIL::Module::cells to cells_
2014-07-26 Clifford WolfRefactoring: Renamed RTLIL::Module::wires to wires_
2014-07-26 Clifford WolfChanged a lot of code to the new RTLIL::Wire constructors
2014-07-26 Clifford WolfAdded RTLIL::Cell::has(portname)
2014-07-26 Clifford WolfMerge automatic and manual code changes for new cell...
2014-07-26 Clifford WolfManual fixes for new cell connections API
2014-07-26 Clifford WolfChanged users of cell->connections_ to the new API...
2014-07-26 Clifford WolfRenamed RTLIL::{Module,Cell}::connections to connections_
2014-07-25 Clifford WolfUse only module->addCell() and module->remove() to...
2014-07-25 Clifford WolfFixed two memory leaks in ast simplify
2014-07-25 Clifford WolfUpdated verific build/test instructions
2014-07-24 Clifford WolfReplaced more old SigChunk programming patterns
2014-07-24 Clifford WolfAdded "make PRETTY=1"
2014-07-23 Clifford WolfVarious fixes in Verific frontend for new RTLIL API
2014-07-23 Clifford WolfVarious small fixes (from gcc compiler warnings)
2014-07-23 Clifford WolfRemoved RTLIL::SigSpec::optimize()
2014-07-23 Clifford WolfMerge branch: Refactoring {SigSpec|SigChunk}(RTLIL...
2014-07-23 Clifford WolfRefactoring {SigSpec|SigChunk}(RTLIL::Wire *wire, ...
2014-07-23 Clifford WolfRefactoring {SigSpec|SigChunk}(RTLIL::Wire *wire, ...
2014-07-22 Clifford WolfSigSpec refactoring: More cleanups of old SigSpec use...
2014-07-22 Clifford WolfSigSpec refactoring: change RTLIL::SigSpec::chunks...
2014-07-22 Clifford WolfSigSpec refactoring: change RTLIL::SigSpec::size()...
2014-07-22 Clifford WolfSigSpec refactoring: using the accessor functions every...
2014-07-22 Clifford WolfSigSpec refactoring: renamed chunks and width to __chun...
2014-07-22 Clifford WolfFixed ilang parsing of process attributes
2014-07-22 Clifford WolfFixed make rules for ilang parser
2014-07-21 Clifford WolfAdded "autoidx" statement to ilang file format
2014-07-21 Clifford WolfReplaced depricated NEW_WIRE macro with module->addWire...
2014-07-21 Clifford WolfRemoved deprecated module->new_wire()
2014-07-17 Clifford WolfImplemented dynamic bit-/part-select for memory writes
2014-07-17 Clifford WolfAdded support for bit/part select to mem2reg rewriter
2014-07-17 Clifford WolfAdded support for constant bit- or part-select for...
2014-07-16 Clifford WolfAdded "inout" ports support to read_liberty
2014-07-16 Clifford WolfSet blackbox attribute in "read_liberty -lib"
2014-07-16 Clifford WolfFixed spelling of "direction" in read_liberty messages
2014-07-16 Clifford WolfMerged new $mem/$memwr WR_EN interface
2014-07-16 Clifford Wolfchanges in verilog frontend for new $mem/$memwr WR_EN...
2014-07-12 Clifford WolfAdded passing of various options to vhdl2verilog
2014-07-11 Clifford WolfFixed processing of initial values for block-local...
2014-07-02 Clifford Wolffixed parsing of constant with comment between size...
2014-06-25 Clifford WolfFixed handling of mixed real/int ternary expressions
2014-06-24 Clifford WolfMore found_real-related fixes to AstNode::detectSignWid...
2014-06-21 Clifford Wolffixed signdness detection for expressions with reals
2014-06-17 Clifford WolfAdded AstNode::MEM2REG_FL_CMPLX_LHS
next