Add more memory tests
[gram.git] / gram / simulation / simsoctb.v
2020-07-10 Jean THOMASFix timings in simulation to prevent tDLLK errors
2020-07-10 Jean THOMASAdd POR start/end logging in simsoc testbench
2020-07-08 Jean THOMASFix clock input
2020-07-08 Jean THOMAScke => clk_en in SoC testbench
2020-07-06 Jean THOMASAdd write transactions in the simulation testbench
2020-07-02 Jean THOMASAdd missing command issue strobe for ZQ calibration
2020-07-02 Jean THOMASFix register addresses, add missing command_issue strobe
2020-07-01 Jean THOMASFix merge
2020-07-01 Jean THOMASRework indentation and add Wishbone tests
2020-07-01 Jean THOMASAdd Wishbone interaction code
2020-07-01 Jean THOMASAdd Wishbone interaction code
2020-06-29 Jean THOMASDefine simulation time as a parameter
2020-06-29 Jean THOMASSet DRAM's CK_N to low
2020-06-29 Jean THOMASSet UART RX to 1'b1
2020-06-26 Jean THOMASAdd testbench for SoC simulation