Externalize CRG into its own file
[gram.git] / gram / simulation / simsoctb.v
2020-07-02 Jean THOMASAdd missing command issue strobe for ZQ calibration
2020-07-02 Jean THOMASFix register addresses, add missing command_issue strobe
2020-07-01 Jean THOMASFix merge
2020-07-01 Jean THOMASRework indentation and add Wishbone tests
2020-07-01 Jean THOMASAdd Wishbone interaction code
2020-07-01 Jean THOMASAdd Wishbone interaction code
2020-06-29 Jean THOMASDefine simulation time as a parameter
2020-06-29 Jean THOMASSet DRAM's CK_N to low
2020-06-29 Jean THOMASSet UART RX to 1'b1
2020-06-26 Jean THOMASAdd testbench for SoC simulation