Added $assert cell
[yosys.git] / kernel / celltypes.h
2014-01-19 Clifford WolfAdded $assert cell
2013-12-28 Clifford WolfAdded $bu0 cell (for easy correct $eq/$ne mapping)
2013-12-27 Clifford WolfAdded support for non-const === and !== (for miter...
2013-10-18 Clifford WolfAdded $_SR_[PN][PN]_, $_DFFSR_[PN][PN][PN]_, $_DLATCH_...
2013-10-18 Clifford WolfAdded $sr, $dffsr and $dlatch cell types
2013-07-23 Clifford WolfAdded $lut cells and abc lut mapping support
2013-06-14 Clifford WolfFixed even more ConstEval bugs found using xsthammer
2013-06-13 Clifford WolfAdded consteval testing to xsthammer and fixed bugs
2013-05-24 Clifford WolfAdded log_assert() api
2013-03-15 Clifford WolfAdded additional functionality and cleanups in sigtools...
2013-03-14 Clifford WolfAdded #ci and #co selection operators
2013-03-14 Clifford WolfAdded $sr cell type to celltypes.h
2013-03-03 Clifford WolfAdded library support to celltypes class and show pass
2013-01-05 Clifford Wolfinitial import