Remember global declarations and defines accross read_verilog calls
[yosys.git] / kernel / rtlil.h
2016-11-15 Clifford WolfRemember global declarations and defines accross read_v...
2016-10-14 Clifford WolfAdded $anyseq cell type
2016-10-14 Clifford WolfAdded $global_clock verilog syntax support for creating...
2016-10-11 Clifford WolfAdded $ff and $_FF_ cell types
2016-09-07 Clifford WolfImprovements in assertpmux
2016-08-28 Clifford WolfRemoved $predict again
2016-07-13 Clifford WolfAdded basic support for $expect cells
2016-07-08 Clifford WolfMerge branch 'yosys-0.5-vtr' of https://github.com...
2016-06-19 Clifford WolfMerge branch 'sv_packages' of https://github.com/rubund...
2016-06-18 Ruben UndheimA few modifications after pull request comments
2016-06-18 Ruben UndheimAdded support for SystemVerilog packages with localpara...
2016-02-02 Clifford WolfAdded addBufGate module method
2016-01-31 Clifford WolfMeaningless coding style change
2016-01-31 Clifford WolfMerge branch 'rtlil_remove2_speedup' of https://github...
2016-01-30 Rick Altherrrtlil: duplicate remove2() for std::set<>
2016-01-30 Rick Altherrrtlil: change IdString comparison operators to take...
2015-12-07 Clifford WolfMerge pull request #108 from cseed/master
2015-11-26 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2015-11-26 Clifford WolfRemoved dangling ';' in rtlil.h
2015-10-24 Clifford Wolfrenamed SigSpec::to_single_sigbit() to SigSpec::as_bit...
2015-09-18 Clifford WolfCosmetic fix in Module::addLut()
2015-08-16 Clifford WolfAdded $tribuf and $_TBUF_ cell types
2015-08-14 Clifford WolfSpell check (by Larry Doolittle)
2015-07-02 Clifford WolfFixed trailing whitespaces
2015-06-29 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2015-06-29 Clifford WolfAdded design->rename(module, new_name)
2015-06-17 Clifford WolfAdded "rename -top new_name"
2015-04-29 Clifford WolfAdded $eq/$neq -> $logic_not/$reduce_bool optimization
2015-04-24 Clifford WolfImproved attributes API and handling of "src" attributes
2015-04-06 Clifford WolfAdded support for initialized brams
2015-04-04 Clifford WolfAdded "dffinit", Support for initialized Xilinx DFF
2015-04-03 Ahmed IrfanMerge branch 'master' of https://github.com/cliffordwol...
2015-02-24 Clifford WolfSome cleanups in "clean"
2015-02-07 Clifford WolfAdded SigSpec::has_const()
2015-02-07 Clifford WolfAdded cell->known(), cell->input(portname), cell->outpu...
2015-01-31 Clifford WolfAdded "equiv_make -blacklist <file> -encfile <file>"
2015-01-30 Clifford WolfSynced RTLIL::unescape_id() to log_id() behavior
2015-01-23 Clifford WolfAdded dict/pool.sort()
2015-01-19 Clifford WolfAdded equiv_make command
2015-01-01 Clifford WolfRemoved SigSpec::extend_xx() api
2014-12-31 Clifford WolfProgress in memory_bram
2014-12-31 Clifford WolfIdString optimization
2014-12-30 Clifford Wolfadded hashlib::mkhash_init
2014-12-29 Clifford WolfAdded "yosys -X"
2014-12-29 Clifford WolfConverting "share" to dict<> and pool<> complete
2014-12-28 Clifford WolfAdded mkhash_xorshift()
2014-12-28 Clifford WolfFixed performance bug in object hashing
2014-12-28 Clifford WolfRenamed hashmap.h to hashlib.h, some related improvements
2014-12-27 Clifford WolfMore dict/pool related changes
2014-12-27 Clifford WolfMore hashtable finetuning
2014-12-26 Clifford WolfReplaced std::unordered_set (nodict) with Yosys::pool
2014-12-26 Clifford WolfReplaced std::unordered_map as implementation for Yosys...
2014-12-26 Clifford WolfAdded Yosys::{dict,nodict,vector} container types
2014-12-24 Clifford WolfRenamed extend() to extend_xx(), changed most users...
2014-12-21 Clifford WolfAdded support for multiple clock domains to "abc" pass
2014-12-17 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2014-12-16 Clifford WolfFixed build with gcc 4.6
2014-12-11 Clifford WolfAdded IdString::destruct_guard hack
2014-12-08 Clifford WolfAdded bool constructors to SigBit and SigSpec
2014-12-08 Clifford WolfAdded module->addDffe() and module->addDffeGate()
2014-11-07 Clifford WolfImproved TopoSort determinism
2014-10-17 Clifford WolfFixed a few VS warnings
2014-10-14 Clifford WolfMerge branch 'win32'
2014-10-14 William SpeirsMade iterators extend std::iterator and added == operator
2014-09-29 Clifford WolfAdded support for "keep" on modules
2014-09-22 Ahmed IrfanMerge branch 'master' of https://github.com/cliffordwol...
2014-09-19 Clifford WolfInitialize RTLIL::Const from std::vector<bool>
2014-09-04 Clifford WolfRemoved $bu0 cell type
2014-09-01 Clifford WolfUsing std::vector<RTLIL::State> instead of RTLIL::Const...
2014-08-31 Clifford WolfAdded RTLIL::Const::size()
2014-08-31 Clifford WolfTypo fixes in cell->*Param() API
2014-08-30 Clifford WolfAdded design->scratchpad
2014-08-24 Clifford WolfAdded is_signed argument to SigSpec.as_int() and Const...
2014-08-22 Clifford WolfAdded emscripten (emcc) support to build system and...
2014-08-21 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2014-08-19 Clifford WolfAdded mod->addGate() methods for new gate types
2014-08-16 Clifford WolfAdded module->uniquify()
2014-08-15 Clifford WolfRenamed $_INV_ cell type to $_NOT_
2014-08-15 Clifford WolfMore idstring sort_by_* helpers and fixed tpl ordering...
2014-08-14 Clifford WolfAdded RTLIL::SigSpec::to_sigbit_map()
2014-08-14 Clifford WolfAdded sig.{replace,remove,extract} variants for std...
2014-08-14 Clifford WolfAdded module->ports
2014-08-14 Clifford WolfRIP $safe_pmux
2014-08-12 Clifford WolfFixed SigBit(RTLIL::Wire *wire) constructor
2014-08-05 Clifford WolfAdded support for truncating of wires to wreduce pass
2014-08-04 Clifford WolfAdded RTLIL::IdString::in(...)
2014-08-02 Clifford WolfRemoved at() method from RTLIL::IdString
2014-08-02 Clifford WolfNo implicit conversion from IdString to anything else
2014-08-02 Clifford WolfMore bugfixes related to new RTLIL::IdString
2014-08-02 Clifford WolfLimit size of log_signal buffer to 100 elements
2014-08-02 Clifford WolfImprovements in new RTLIL::IdString implementation
2014-08-02 Clifford WolfImplemented new reference counting RTLIL::IdString
2014-08-02 Clifford WolfFixed memory corruption related to id2cstr()
2014-08-02 Clifford WolfMore cleanups related to RTLIL::IdString usage
2014-08-01 Clifford WolfPreparations for RTLIL::IdString redesign: cleanup...
2014-08-01 Clifford WolfAdded ModIndex helper class, some changes to RTLIL...
2014-08-01 Clifford WolfPacked SigBit::data and SigBit::offset in a union
2014-07-31 Clifford WolfRenamed port access function on RTLIL::Cell, added...
2014-07-31 Clifford WolfAdded RTLIL::Monitor
2014-07-31 Clifford WolfAdded module->design and cell->module, wire->module...
next