Fixed trailing whitespaces
[yosys.git] / kernel /
2015-07-02 Clifford WolfFixed trailing whitespaces
2015-06-29 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2015-06-29 Clifford WolfAdded design->rename(module, new_name)
2015-06-17 Clifford WolfAdded "rename -top new_name"
2015-06-14 Clifford WolfAdded "write_smv" skeleton
2015-06-11 Clifford WolfFixed cstr_buf for std::string with small string optimi...
2015-06-11 Clifford WolfImprovements in cellaigs.cc and "json -aig"
2015-06-10 Clifford WolfAigMaker refactoring
2015-06-10 Clifford WolfAdded "json -aig"
2015-06-10 Clifford WolfFixed cellaigs port extending
2015-06-09 Clifford WolfAdded "aig" pass
2015-06-09 Clifford WolfAdded cellaigs API
2015-06-09 Clifford WolfMerge branch 'verilog-backend-memV2' of github.com...
2015-06-08 Clifford WolfFixed "avail_parameters" handling in module clone/copy
2015-06-08 Clifford WolfAdded log_dump() support for IdStrings
2015-05-17 Clifford WolfAdded read_blif command
2015-05-17 Clifford WolfVerific build fixes
2015-04-29 Clifford WolfAdded $eq/$neq -> $logic_not/$reduce_bool optimization
2015-04-24 Clifford WolfImproved attributes API and handling of "src" attributes
2015-04-16 Clifford WolfA "#" does start a comment, not a label.
2015-04-09 Clifford WolfAdded back-end auto-detect for .edif and .json
2015-04-09 Clifford WolfFixed const2big performance bug
2015-04-08 Clifford WolfAdded support for "file names with blanks"
2015-04-07 Clifford WolfAdded hashlib support for std::tuple<>
2015-04-07 Clifford WolfAdded pool<K>::pop()
2015-04-06 Clifford WolfAdded support for initialized brams
2015-04-05 Clifford WolfAvoid parameter values with size 0 ($mem cells)
2015-04-05 Clifford WolfAdded $_MUX4_, $_MUX8_, and $_MUX16_ cell types
2015-04-04 Clifford WolfAdded "dffinit", Support for initialized Xilinx DFF
2015-04-03 Ahmed IrfanMerge branch 'master' of https://github.com/cliffordwol...
2015-03-22 Clifford WolfFixed detection of absolute paths in ABC for win32
2015-02-26 Clifford WolfAdded $assume cell type
2015-02-24 Clifford WolfSome cleanups in "clean"
2015-02-21 Clifford WolfReplaced ezDefaultSAT with ezSatPtr
2015-02-19 Clifford WolfYosysJS stuff
2015-02-18 Clifford WolfFixed clang (svn trunk) warnings
2015-02-16 Clifford WolfMore YosysJS stuff
2015-02-16 Clifford WolfAdded YosysJS wrapper
2015-02-15 Clifford WolfMore emcc stuff
2015-02-15 Clifford WolfMore emscripten stuff, Added example app
2015-02-14 Clifford WolfAdded $meminit support to "memory" command
2015-02-14 Clifford WolfAdded $meminit cell type
2015-02-09 Clifford WolfSome hashlib improvements
2015-02-09 Clifford WolfFixed creation of command reference in manual
2015-02-08 Clifford WolfFixed eval_select_op() api
2015-02-08 Clifford WolfAdded eval_select_args() and eval_select_op()
2015-02-08 Clifford WolfVarious ModIndex improvements
2015-02-07 Clifford WolfAdded SigSpec::has_const()
2015-02-07 Clifford WolfAdded cell->known(), cell->input(portname), cell->outpu...
2015-02-03 Clifford WolfSkip blackbox modules in design->selected_modules()
2015-02-03 Clifford WolfAdded "yosys -L logfile"
2015-02-01 Clifford WolfAdded "make mklibyosys", some minor API changes
2015-01-31 Clifford WolfAdded yosys_banner(), Updated Copyright range
2015-01-31 Clifford WolfAdded <algorithm> include to hashlib.h
2015-01-31 Clifford WolfLog msg change
2015-01-31 Clifford WolfAdded "equiv_induct -undef"
2015-01-31 Clifford WolfAdded "equiv_simple -undef"
2015-01-31 Clifford WolfAdded "equiv_make -blacklist <file> -encfile <file>"
2015-01-30 Clifford WolfSynced RTLIL::unescape_id() to log_id() behavior
2015-01-30 Clifford WolfMore log_id() stuff
2015-01-30 Clifford WolfSome cleanups in log.cc
2015-01-25 Clifford WolfRethrow with "catch(...) throw;"
2015-01-24 Clifford WolfAdded ENABLE_NDEBUG makefile options
2015-01-24 Clifford WolfAdded #ifdef NDEBUG for log_assert()
2015-01-23 Clifford WolfAdded dict/pool.sort()
2015-01-22 Clifford WolfVarious equiv_simple improvements
2015-01-21 Clifford WolfProgress in equiv_simple
2015-01-19 Clifford WolfAdded equiv_make command
2015-01-19 Clifford WolfAdded $equiv cell type
2015-01-18 Clifford WolfAdded hashlib::idict<>
2015-01-17 Clifford WolfOptimizing no-op cell->setPort()
2015-01-09 Clifford WolfOnly enable code coverage counters on linux
2015-01-06 Clifford Wolffixed compiler warning on non-linux archs
2015-01-06 Clifford Wolfhashlib iterator fix
2015-01-06 Clifford Wolfbuild fix for mxe
2015-01-03 Clifford WolfPrint non-errors to stdout
2015-01-01 Clifford WolfRemoved SigSpec::extend_xx() api
2014-12-31 Clifford WolfProgress in memory_bram
2014-12-31 Clifford WolfAdded memory_bram (not functional yet)
2014-12-31 Clifford WolfAdded hashlib .count(key, iterator) and it1 < it2
2014-12-31 Clifford Wolfimproved bitpattern (proc_mux) performance
2014-12-31 Clifford Wolfhashlib cleanups and a fix
2014-12-31 Clifford Wolfgcc-4.6 compile fixes
2014-12-31 Clifford Wolfnew hashlib::pool<> (derived from new dict)
2014-12-31 Clifford Wolfmajor rewrite of hashlib::dict<>
2014-12-31 Clifford WolfIdString optimization
2014-12-31 Clifford Wolfhotfix for ModInfo
2014-12-30 Clifford Wolfusing pool<> in bitpattern.h
2014-12-30 Clifford Wolfimproved -v option
2014-12-30 Clifford Wolfprint timing details (-d) in -q mode
2014-12-30 Clifford Wolfadded hashlib::mkhash_init
2014-12-30 Clifford WolfSmall optimization in hashlib
2014-12-30 Clifford WolfImprovements in hashlib
2014-12-29 Clifford WolfPut dummy reference to empty idstring in yosys_shutdown()
2014-12-29 Clifford WolfImproved free list management in hashlib
2014-12-29 Clifford WolfLess verbose ABC output
2014-12-29 Clifford WolfAdded global yosys_celltypes
2014-12-29 Clifford WolfAdded "yosys -X"
2014-12-29 Clifford WolfFixed comment parsing in Pass::call()
2014-12-29 Clifford Wolfdict/pool changes in opt_clean
next