Merge pull request #946 from YosysHQ/clifford/specify
[yosys.git] / kernel /
2019-05-06 Clifford WolfMerge pull request #946 from YosysHQ/clifford/specify
2019-05-06 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys into...
2019-05-04 Clifford WolfImprove write_verilog specify support
2019-05-03 Eddie HungMerge remote-tracking branch 'origin/master' into cliff...
2019-05-03 Clifford WolfMerge pull request #969 from YosysHQ/clifford/pmgenstuff
2019-05-02 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-05-01 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-04-30 Clifford WolfMerge pull request #972 from YosysHQ/clifford/fix968
2019-04-30 Clifford WolfMerge pull request #966 from YosysHQ/clifford/fix956
2019-04-30 Clifford WolfMerge branch 'master' into eddie/refactor_synth_xilinx
2019-04-30 Clifford WolfMerge pull request #973 from christian-krieg/feature...
2019-04-30 Clifford WolfFix performance bug in RTLIL::SigSpec::operator==(...
2019-04-30 Benedikt TutzerMerge branch 'master' of https://github.com/YosysHQ...
2019-04-29 Clifford WolfMerge pull request #960 from YosysHQ/eddie/equiv_opt_undef
2019-04-29 Clifford WolfMerge pull request #967 from olegendo/depfile_esc_spaces
2019-04-29 Oleg Endofix codestyle formatting
2019-04-29 Oleg Endoescape spaces with backslash when writing dep file
2019-04-23 Clifford WolfImprove $specrule interface
2019-04-23 Clifford WolfImprove $specrule interface
2019-04-23 Clifford WolfAdd $specrule cells for $setup/$hold/$skew specify...
2019-04-23 Clifford WolfRename T_{RISE,FALL}_AVG to T_{RISE,FALL}_TYP to better...
2019-04-23 Clifford WolfAdd CellTypes support for $specify2 and $specify3
2019-04-23 Clifford WolfAdd InternalCellChecker support for $specify2 and ...
2019-04-23 Clifford WolfAdd specify parser
2019-04-23 Clifford WolfMerge pull request #957 from YosysHQ/oai4fix
2019-04-23 David ShahFixes for OAI4 cell implementation
2019-04-22 Eddie HungMerge pull request #914 from YosysHQ/xc7srl
2019-04-22 Clifford WolfMerge pull request #952 from YosysHQ/clifford/fix370
2019-04-22 Clifford WolfMerge pull request #951 from YosysHQ/clifford/logdebug
2019-04-22 Eddie HungMerge remote-tracking branch 'origin/master' into xc7srl
2019-04-22 Clifford WolfAdd log_debug() framework
2019-04-22 Clifford WolfMerge pull request #905 from christian-krieg/feature...
2019-04-22 Clifford WolfMerge pull request #941 from Wren6991/sim_lib_io_clke
2019-04-22 Clifford WolfMerge branch 'master' of https://github.com/dh73/yosys_...
2019-04-22 Clifford WolfMerge pull request #911 from mmicko/gowin-nobram
2019-04-22 Clifford WolfMerge pull request #909 from zachjs/master
2019-04-22 Clifford WolfMerge pull request #944 from YosysHQ/clifford/pmux2shiftx
2019-04-21 Eddie HungMerge branch 'master' into map_cells_before_map_luts
2019-04-21 Eddie HungMerge remote-tracking branch 'origin/clifford/pmux2shif...
2019-04-21 Eddie HungMerge remote-tracking branch 'origin/master' into xc7srl
2019-04-20 Clifford WolfMerge pull request #943 from YosysHQ/clifford/whitebox
2019-04-20 Clifford WolfAdd "wbflip" command
2019-04-18 Eddie HungIgnore 'whitebox' attr in flatten with "-wb" option
2019-04-18 Clifford WolfAdd "whitebox" attribute, add "read_verilog -wb"
2019-04-05 Eddie HungMerge branch 'eddie/fix_retime' into xc7srl
2019-04-05 Clifford WolfAdd "read_ilang -lib"
2019-04-03 Benedikt TutzerGlobal lists in rtlil.cc are now static objects
2019-04-03 Benedikt TutzerAdded support for changing Yosys namespace
2019-04-01 Benedikt TutzerFixed identation
2019-03-28 Benedikt TutzerMerge remote-tracking branch 'origin/master' into featu...
2019-03-25 Eddie HungMerge remote-tracking branch 'origin/master' into xc7srl
2019-03-23 Clifford WolfMerge pull request #893 from YosysHQ/clifford/btormeminit
2019-03-23 Clifford WolfAdd RTLIL::Const::ext[su](), fix RTLIL::SigSpec::extend...
2019-03-19 Eddie HungMerge remote-tracking branch 'origin/master' into xc7srl
2019-03-19 Eddie HungMerge https://github.com/YosysHQ/yosys into read_aiger
2019-03-15 Clifford WolfMerge pull request #876 from YosysHQ/clifford/fmcombine
2019-03-15 Clifford WolfAdd fmcombine pass
2019-03-14 Clifford WolfMerge pull request #875 from YosysHQ/clifford/mutate
2019-03-14 Clifford WolfAdd hashlib "<container>::element(int n)" methods
2019-03-14 Eddie HungMerge remote-tracking branch 'origin/master' into xc7srl
2019-03-14 Clifford WolfMerge pull request #869 from cr1901/win-shell
2019-03-13 Clifford WolfMerge pull request #868 from YosysHQ/clifford/fixmem
2019-03-12 Clifford WolfFix a bug in handling quotes in multi-cmd lines in...
2019-03-12 Clifford WolfMerge pull request #866 from YosysHQ/clifford/idstuff
2019-03-11 Clifford WolfImprove determinism of IdString DB for similar scripts
2019-03-11 Eddie HungMerge pull request #864 from YosysHQ/svalabelfix
2019-03-11 Clifford WolfAdd ENABLE_GLOB Makefile switch
2019-02-28 Clifford WolfMerge pull request #794 from daveshah1/ecp5improve
2019-02-24 Clifford WolfMerge pull request #812 from ucb-bar/arrayhierarchyfixes
2019-02-24 Clifford WolfMerge pull request #824 from litghost/fix_reduce_on_ff
2019-02-22 Clifford WolfMerge pull request #819 from YosysHQ/clifford/optd
2019-02-22 Clifford WolfMerge pull request #820 from YosysHQ/clifford/fix810
2019-02-22 Clifford WolfMerge pull request #740 from daveshah1/improve_dress
2019-02-21 Clifford WolfMerge pull request #818 from YosysHQ/clifford/dffsrfix
2019-02-21 Clifford WolfMerge pull request #786 from YosysHQ/pmgen
2019-02-21 Clifford WolfRename "yosys -U" to "yosys -P" to avoid confusion...
2019-02-21 Clifford WolfRename "yosys -D" to "yosys -U", add "yosys -D" with...
2019-02-20 Clifford WolfAdd FF support to wreduce
2019-01-15 Clifford WolfAdd optional nullstr argument to log_id()
2019-01-02 Clifford WolfMerge pull request #770 from whitequark/opt_expr_cmp
2019-01-02 Clifford WolfMerge pull request #755 from Icenowy/anlogic-dram-init
2019-01-02 Clifford WolfMerge pull request #750 from Icenowy/anlogic-ff-init
2019-01-02 Clifford WolfMerge pull request #773 from whitequark/opt_lut_elim_fixes
2019-01-02 Clifford WolfMerge pull request #772 from whitequark/synth_lut
2019-01-02 Clifford WolfMerge pull request #771 from whitequark/techmap_cmp2lut
2019-01-02 Clifford WolfMerge pull request #769 from whitequark/typos
2019-01-02 whitequarkFix typographical and grammatical errors and inconsiste...
2018-12-31 Clifford WolfMerge pull request #766 from Icenowy/anlogic-latches
2018-12-23 Clifford WolfMerge pull request #761 from whitequark/proc_clean_partial
2018-12-23 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys
2018-12-23 whitequarkproc_clean: remove any empty cases if all cases use...
2018-12-22 Clifford WolfMerge pull request #757 from whitequark/manual_mem
2018-12-21 Clifford WolfMerge pull request #758 from whitequark/tcl_script_args
2018-12-20 whitequarktcl: add support for passing arguments to scripts.
2018-12-16 Clifford WolfMerge pull request #704 from webhat/feature/fix-awk
2018-12-05 Clifford WolfMerge pull request #709 from smunaut/issue_708
2018-12-04 Clifford WolfMerge pull request #702 from smunaut/min_ce_use
2018-12-01 Clifford WolfMerge pull request #676 from rafaeltp/master
2018-11-29 Clifford WolfImprove ConstEval error handling for non-eval cell...
2018-11-12 Clifford WolfMerge pull request #695 from daveshah1/ecp5_bb
next