Merge pull request #1139 from YosysHQ/dave/check-sim-iverilog
[yosys.git] / kernel /
2019-03-15 Clifford WolfAdd fmcombine pass
2019-03-14 Clifford WolfMerge pull request #875 from YosysHQ/clifford/mutate
2019-03-14 Clifford WolfAdd hashlib "<container>::element(int n)" methods
2019-03-14 Eddie HungMerge remote-tracking branch 'origin/master' into xc7srl
2019-03-14 Clifford WolfMerge pull request #869 from cr1901/win-shell
2019-03-13 Clifford WolfMerge pull request #868 from YosysHQ/clifford/fixmem
2019-03-12 Clifford WolfFix a bug in handling quotes in multi-cmd lines in...
2019-03-12 Clifford WolfMerge pull request #866 from YosysHQ/clifford/idstuff
2019-03-11 Clifford WolfImprove determinism of IdString DB for similar scripts
2019-03-11 Eddie HungMerge pull request #864 from YosysHQ/svalabelfix
2019-03-11 Clifford WolfAdd ENABLE_GLOB Makefile switch
2019-02-28 Clifford WolfMerge pull request #794 from daveshah1/ecp5improve
2019-02-26 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-02-24 Clifford WolfMerge pull request #812 from ucb-bar/arrayhierarchyfixes
2019-02-24 Clifford WolfMerge pull request #824 from litghost/fix_reduce_on_ff
2019-02-22 Clifford WolfMerge pull request #819 from YosysHQ/clifford/optd
2019-02-22 Clifford WolfMerge pull request #820 from YosysHQ/clifford/fix810
2019-02-22 Clifford WolfMerge pull request #740 from daveshah1/improve_dress
2019-02-21 Clifford WolfMerge pull request #818 from YosysHQ/clifford/dffsrfix
2019-02-21 Clifford WolfMerge pull request #786 from YosysHQ/pmgen
2019-02-21 Clifford WolfRename "yosys -U" to "yosys -P" to avoid confusion...
2019-02-21 Clifford WolfRename "yosys -D" to "yosys -U", add "yosys -D" with...
2019-02-20 Clifford WolfAdd FF support to wreduce
2019-02-11 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-01-15 Clifford WolfAdd optional nullstr argument to log_id()
2019-01-02 Clifford WolfMerge pull request #770 from whitequark/opt_expr_cmp
2019-01-02 Clifford WolfMerge pull request #755 from Icenowy/anlogic-dram-init
2019-01-02 Clifford WolfMerge pull request #750 from Icenowy/anlogic-ff-init
2019-01-02 Clifford WolfMerge pull request #773 from whitequark/opt_lut_elim_fixes
2019-01-02 Clifford WolfMerge pull request #772 from whitequark/synth_lut
2019-01-02 Clifford WolfMerge pull request #771 from whitequark/techmap_cmp2lut
2019-01-02 Clifford WolfMerge pull request #769 from whitequark/typos
2019-01-02 whitequarkFix typographical and grammatical errors and inconsiste...
2018-12-31 Clifford WolfMerge pull request #766 from Icenowy/anlogic-latches
2018-12-23 Clifford WolfMerge pull request #761 from whitequark/proc_clean_partial
2018-12-23 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys
2018-12-23 whitequarkproc_clean: remove any empty cases if all cases use...
2018-12-22 Clifford WolfMerge pull request #757 from whitequark/manual_mem
2018-12-21 Clifford WolfMerge pull request #758 from whitequark/tcl_script_args
2018-12-20 whitequarktcl: add support for passing arguments to scripts.
2018-12-18 Jim LawsonMerge remote-tracking branch 'upstream/master'
2018-12-16 Clifford WolfMerge pull request #704 from webhat/feature/fix-awk
2018-12-05 Clifford WolfMerge pull request #709 from smunaut/issue_708
2018-12-04 Clifford WolfMerge pull request #702 from smunaut/min_ce_use
2018-12-01 Clifford WolfMerge pull request #676 from rafaeltp/master
2018-11-29 Clifford WolfImprove ConstEval error handling for non-eval cell...
2018-11-12 Clifford WolfMerge pull request #695 from daveshah1/ecp5_bb
2018-10-31 Clifford WolfMerge branch 'igloo2'
2018-10-30 Clifford WolfMerge pull request #680 from jburgess777/fix-empty...
2018-10-28 Jon BurgessAvoid assert when label is an empty string
2018-10-25 Clifford WolfMerge pull request #678 from whentze/master
2018-10-22 whentzefix unhandled std::out_of_range when calling yosys...
2018-10-21 rafaeltpcleaning up for PR
2018-10-21 rafaeltpsolves #675
2018-10-19 Clifford WolfMerge pull request #672 from daveshah1/fix_bram
2018-10-18 Clifford WolfMerge pull request #659 from rubund/sv_interfaces
2018-10-17 Clifford WolfMerge pull request #663 from aman-goel/master
2018-10-17 Clifford WolfMerge pull request #658 from daveshah1/ecp5_bram
2018-10-17 Clifford WolfMerge pull request #638 from udif/pr_reg_wire_error
2018-10-16 Clifford WolfMerge branch 'yosys-0.8-rc'
2018-10-13 Ruben UndheimDocumentation improvements etc.
2018-10-13 tklamMerge branch 'master' of https://github.com/YosysHQ...
2018-10-12 Ruben UndheimSupport for 'modports' for System Verilog interfaces
2018-10-12 Ruben UndheimSynthesis support for SystemVerilog interfaces
2018-10-08 Adrian WheeldonFix IdString M in setup_stdcells()
2018-10-08 Miodrag MilanovicFix Cygwin build and document needed packages
2018-10-05 Clifford WolfMerge pull request #651 from ARandomOWL/stdcells_fix
2018-10-04 Adrian WheeldonFix IdString M in setup_stdcells()
2018-10-02 Clifford WolfMerge pull request #645 from daveshah1/ecp5_dram_fix
2018-10-01 Aman GoelMerge pull request #4 from YosysHQ/master
2018-09-19 Clifford WolfMerge pull request #633 from mmicko/master
2018-09-19 Benedikt TutzerExposed generator script to make-process
2018-09-19 Miodrag MilanovicFix Cygwin build and document needed packages
2018-09-17 Udi FinkelsteinMerge branch 'master' into pr_reg_wire_error
2018-08-23 Benedikt Tutzeradded functions whose definitions are split over multip...
2018-08-23 Benedikt Tutzeradded default yosys license text
2018-08-23 Benedikt TutzerFixed segfault / multiple free issue with lists
2018-08-22 Jim LawsonMerge pull request #1 from YosysHQ/master
2018-08-22 Benedikt TutzerDo not pass heap object to Python. This way they should...
2018-08-22 Benedikt TutzerFixed Identation
2018-08-21 Benedikt TutzerWrapped functions that use unsigned int or type_t as...
2018-08-21 Benedikt Tutzeradded operators <, == and !=
2018-08-21 Benedikt TutzerAdded previousely missed functions
2018-08-21 Benedikt TutzerDeleted duplicate Destructor
2018-08-20 Benedikt Tutzeradded some checks if python is enabled to make sure...
2018-08-20 Benedikt TutzerThe share directory cannot be searched when used as...
2018-08-20 Benedikt TutzerPython passes are now looked for in share/plugins and...
2018-08-20 Benedikt TutzerFixed issue when using a python plugin in the yosys...
2018-08-18 Aman GoelMerge pull request #3 from YosysHQ/master
2018-08-16 Benedikt TutzerPython Passes can now be added with the -m option or...
2018-08-15 Clifford WolfMerge pull request #573 from cr1901/msys-64
2018-08-15 Clifford WolfMerge pull request #591 from hzeller/virtual-override
2018-08-15 Clifford WolfMerge pull request #590 from hzeller/remaining-file...
2018-08-15 Clifford WolfMerge pull request #576 from cr1901/no-resource
2018-08-15 Clifford WolfMerge pull request #592 from japm48/master
2018-08-15 Clifford WolfMerge pull request #513 from udif/pr_reg_wire_error
2018-08-15 Clifford WolfMerge pull request #562 from udif/pr_fix_illegal_port_decl
2018-08-14 Clifford WolfMerge pull request #602 from litghost/add_eblif_extension
2018-08-13 litghostMap .eblif extension as blif.
2018-08-13 Benedikt TutzerAdded Wrappers for:
next