kernel: TimingInfo to clamp -ve setup/edge-sensitive delays to zero
[yosys.git] / manual / PRESENTATION_ExSyn / proc_01.ys
2014-02-02 Clifford Wolfpresentation progress