Merge pull request #1413 from YosysHQ/mmicko/backend_binary_out
[yosys.git] / misc /
2019-09-27 Aman GoelMerge pull request #7 from YosysHQ/master
2019-09-25 Eddie HungMerge pull request #1401 from SergeyDegtyar/SergeyDegty...
2019-09-18 Eddie HungMerge pull request #1355 from YosysHQ/eddie/peepopt_dff...
2019-09-11 David ShahMerge pull request #1362 from xobs/smtbmc-msvc2-build...
2019-09-08 Sean Crossmsys2: launcher: fix warnings and errors under g++
2019-08-29 SergeyMerge pull request #3 from YosysHQ/Sergey/tests_ice40
2019-08-28 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_...
2019-08-28 Eddie HungMerge remote-tracking branch 'origin/clifford/async2syn...
2019-08-27 Clifford WolfMerge pull request #1325 from YosysHQ/eddie/sat_init
2019-08-26 Eddie HungMerge branch 'master' into eddie/xilinx_srl
2019-08-26 Eddie HungMerge branch 'master' into mwk/xilinx_bufgmap
2019-08-26 Clifford WolfMerge tag 'yosys-0.9'
2019-08-25 Clifford WolfMerge pull request #1112 from acw1251/pyosys_sigsig_issue
2019-08-20 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-16 Eddie HungMerge branch 'eddie/abc9_refactor' into xaig_dff
2019-08-16 Eddie HungMerge https://github.com/bogdanvuk/yosys into bogdanvuk...
2019-08-07 Jim LawsonMerge branch 'master' into firrtl_err_on_unsupported_cell
2019-08-07 Clifford WolfMerge pull request #1240 from ucb-bar/firrtl-properties...
2019-08-07 David ShahMerge pull request #1241 from YosysHQ/clifford/jsonfix
2019-08-06 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-03 whitequarkMerge pull request #1242 from jfng/fix-proc_prune-partial
2019-08-02 Clifford WolfMerge pull request #1238 from mmicko/vsbuild_fix
2019-08-02 Miodrag MilanovicFix formatting for msys2 mingw build using GetSize
2019-08-02 Clifford WolfMerge pull request #1239 from mmicko/mingw_fix
2019-08-01 Miodrag MilanovicFix formatting for msys2 mingw build using GetSize
2019-07-24 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-07-10 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2019-07-09 Clifford WolfMerge pull request #1159 from btut/fix/1090_segfault_ce...
2019-07-09 Clifford WolfMerge pull request #1167 from YosysHQ/eddie/xc7srl_cleanup
2019-07-08 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-07-05 Clifford WolfMerge pull request #1159 from btut/fix/1090_segfault_ce...
2019-07-04 Benedikt TutzerThrow runtime exception when trying to convert a c...
2019-06-26 Eddie HungMerge branch 'xc7nocarrymux' of https://github.com...
2019-06-19 acw1251Fixed pyosys commands returning RTLIL::SigSig
2019-06-14 Bogdan VukobratovicMerge remote-tracking branch 'upstream/master'
2019-06-13 Serge BazanskiMerge pull request #829 from abdelrahmanhosny/master
2019-06-12 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-08 Clifford WolfMerge pull request #1078 from YosysHQ/eddie/muxcover_costs
2019-06-07 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-07 Eddie HungMerge branch 'master' into eddie/muxpack
2019-06-07 Clifford WolfMerge branch 'pr_elab_sys_tasks' of https://github...
2019-06-07 Clifford WolfMerge branch 'tux3-implicit_named_connection'
2019-06-07 Clifford WolfMerge pull request #1076 from thasti/centos7-build-fix
2019-06-07 Clifford WolfMerge branch 'implicit_named_connection' of https:...
2019-06-07 Stefan Biereigelremove boost/log/exceptions.hpp from wrapper generator
2019-06-06 Clifford WolfMerge pull request #1060 from antmicro/parsing_attr_on_...
2019-06-06 David ShahMerge pull request #1073 from whitequark/ecp5-diamond-iob
2019-06-05 Eddie HungMerge pull request #1067 from YosysHQ/clifford/fix1065
2019-06-05 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-05 Clifford WolfMerge pull request #1066 from YosysHQ/clifford/fix1056
2019-06-05 Clifford WolfRemove yosys_banner() from python wrapper init, fixes...
2019-05-31 Eddie HungMerge branch 'xaig' into xc7mux
2019-05-03 Eddie HungMerge remote-tracking branch 'origin/master' into cliff...
2019-05-03 Clifford WolfMerge pull request #969 from YosysHQ/clifford/pmgenstuff
2019-05-02 Eddie HungMerge remote-tracking branch 'origin/clifford/pmgenstuf...
2019-05-02 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-05-02 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-05-01 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-04-30 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-04-30 Clifford WolfMerge pull request #972 from YosysHQ/clifford/fix968
2019-04-30 Clifford WolfMerge pull request #966 from YosysHQ/clifford/fix956
2019-04-30 Clifford WolfMerge branch 'master' into eddie/refactor_synth_xilinx
2019-04-30 Clifford WolfMerge pull request #973 from christian-krieg/feature...
2019-04-30 Benedikt TutzerMerge branch 'master' of https://github.com/YosysHQ...
2019-04-30 Benedikt TutzerCleaned up root directory
2019-04-08 Eddie HungMerge branch 'master' into xaig
2019-04-01 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-03-28 Benedikt TutzerMerge remote-tracking branch 'origin/master' into featu...
2019-03-19 Eddie HungMerge remote-tracking branch 'origin/master' into xc7srl
2019-03-19 Eddie HungMerge https://github.com/YosysHQ/yosys into read_aiger
2019-03-14 Eddie HungMerge remote-tracking branch 'origin/master' into xc7srl
2019-03-14 Clifford WolfMerge pull request #869 from cr1901/win-shell
2019-03-13 William D. JonesInstall launcher executable when running yosys-smtbmc...
2019-03-09 Clifford WolfMerge pull request #859 from smunaut/ice40_braminit
2019-03-01 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-03-01 Clifford WolfMerge pull request #836 from elmsfu/ice40_2bit_ram_rw_mode
2019-02-28 Larry DoolittleReduce amount of trailing whitespace in code base
2018-10-17 Clifford WolfMerge pull request #638 from udif/pr_reg_wire_error
2018-10-08 Clifford WolfUpdate to v2 YosysVS template
2018-10-05 Clifford WolfMerge pull request #651 from ARandomOWL/stdcells_fix
2018-10-03 tklamMerge branch 'master' of https://github.com/YosysHQ...
2018-10-02 Clifford WolfMerge pull request #645 from daveshah1/ecp5_dram_fix
2018-10-01 Aman GoelMerge pull request #4 from YosysHQ/master
2018-09-28 Clifford WolfMerge branch 'yosys-0.8-rc' of github.com:YosysHQ/yosys
2018-09-28 Clifford WolfUpdate to v2 YosysVS template
2018-09-17 Udi FinkelsteinMerge branch 'master' into pr_reg_wire_error
2018-08-15 Clifford WolfMerge pull request #513 from udif/pr_reg_wire_error
2018-08-15 Clifford WolfMerge pull request #562 from udif/pr_fix_illegal_port_decl
2018-07-04 Aman GoelMerge branch 'YosysHQ-master'
2018-07-04 Aman GoelMerging with official repo
2018-06-19 Clifford WolfMerge pull request #571 from q3k/q3k/protobuf-backend
2018-06-19 Serge BazanskiAdd Protobuf backend
2016-07-08 Clifford WolfMerge branch 'yosys-0.5-vtr' of https://github.com...
2015-12-07 Clifford WolfMerge pull request #108 from cseed/master
2015-10-13 Clifford WolfAdded examples/ top-level directory
2015-08-04 Clifford WolfAdded ENABLE_LIBYOSYS Makefile option
2015-08-04 Clifford WolfAdded libyosys.so build
2015-07-10 Clifford WolfFixed YosysJS.create_worker() usage of this.url_prefix
2015-07-04 Clifford WolfImproved YosysJS WebWorker API
2015-07-02 Clifford WolfFixed trailing whitespaces
next