back.pysim: synchronize waveform writing with cxxrtl.
[nmigen.git] / nmigen / back / pysim.py
2020-07-07 whitequarkback.pysim: synchronize waveform writing with cxxrtl.
2020-07-07 whitequarkback.pysim: synchronize terms with cxxrtl. NFC.
2020-07-07 whitequarkback.pysim: simplify. NFC.
2020-07-07 whitequarkback.pysim: simplify. NFC.
2020-07-07 whitequarkRemove everything deprecated in nmigen 0.2.
2020-06-28 whitequarkback.pysim: simplify.
2020-04-16 anuejnhdl.rec: make Record inherit from UserValue. working_23jun2020
2020-04-08 Stuart Olsenback.pysim: Clear pending updates after they are effected
2020-04-07 Stuart Olsenback.pysim: Eliminate duplicate dict lookup in VCD...
2020-04-07 Stuart Olsenback.pysim: Reuse clock simulation commands
2020-04-03 whitequarkback.pysim: fix emission of undriven traces to VCD...
2020-03-15 Stuart Olsenback.pysim: implement modulus operator.
2020-02-19 whitequarkback.pysim: fix RHS codegen for Cat() and Repl(......
2020-02-19 whitequarkback.pysim: optionally allow introspecting generated...
2020-02-12 whitequarkback.pysim: accept write_vcd(vcd_file=None).
2020-02-06 whitequarkhdl.ast: add Value.{as_signed,as_unsigned}.
2020-02-06 whitequarkback.pysim: emit toplevel inputs in VCD files as well.
2020-02-06 whitequarkback.pysim: make `write_vcd(traces=)` actually use...
2020-02-01 whitequarkhdl.ast: prohibit shifts by signed value.
2019-12-02 whitequarkback.pysim: fix miscompilation of Signal(unsigned)...
2019-11-28 whitequarkback.pysim: redesign the simulator.
2019-10-13 whitequark{,_}tools→{,_}utils
2019-10-12 whitequarkhdl.ast: rename Slice.end back to Slice.stop.
2019-10-12 whitequark_tools: extract most utility methods to a private package.
2019-10-11 whitequarkConsistently use {!r}, not '{!r}' in diagnostics.
2019-10-11 whitequarkhdl.ast: Operator.{op→operator}
2019-09-28 whitequarkhdl.ast: actually implement the // operator.
2019-09-20 whitequarkhdl.ast: rename `nbits` to `width`.
2019-09-20 whitequarkback.pysim: fix simulation of Value.xor().
2019-09-13 whitequarkhdl.ast: add Value.xor, mapping to $reduce_xor.
2019-09-13 whitequarkhdl.ast: add Value.{any,all}, mapping to $reduce_{or...
2019-09-03 whitequarkhdl.ast,back.rtlil: implement Cover.
2019-08-31 whitequarkhdl.cd: add negedge clock domains.
2019-08-23 whitequarkback.pysim: implement sim.add_clock(if_exists=True). locally_working
2019-08-23 whitequarkback.pysim: don't crash when trying to drive a nonexist...
2019-08-21 whitequarkback.pysim: allow coroutines as processes.
2019-08-19 whitequarklib.cdc: use a local clock domain in ResetSynchronizer.
2019-08-19 whitequarkback.pysim: index domains by identity, not by name.
2019-08-15 whitequarkhdl.ast: implement Initial.
2019-08-03 whitequarkhdl.ast: deprecate Value.part, add Value.{bit,word...
2019-07-12 whitequarkback.pysim: correctly add gtkwave traces for signals...
2019-07-10 whitequarkback.pysim: avoid malformed VCD files when a decoder...
2019-06-28 whitequarkback.pysim: create unique ResetSynchronizer internal...
2019-06-28 whitequarkback.pysim: override ResetSynchronizer implementation.
2019-06-28 whitequarkhdl.{ast,dsl}, back.{pysim,rtlil}: allow multiple case...
2019-06-26 whitequarkback.pysim: fix scope screwup.
2019-06-25 whitequarkhdl.{ast,dst}: directly represent RTLIL default case.
2019-06-11 whitequarkback.pysim: check for a clock being added twice.
2019-01-26 whitequarkback.pysim: support async reset.
2019-01-26 whitequarkback.pysim: give better names to unnamed fragments...
2019-01-26 whitequarkhdl.ir: rename .get_fragment() to .elaborate().
2019-01-25 whitequarkback.pysim: fix behavior of initial cycle for sync...
2019-01-21 whitequarkback.pysim: wake up processes before ever committing...
2019-01-17 whitequarkhdl.xfrm: add SampleLowerer.
2019-01-15 William D. Joneshdl.xfrm: Add on_AnyConst and on_AnySeq abstract method...
2019-01-13 whitequarkback.pysim: handle non-driven, non-port signals.
2019-01-06 Adam GreigGive the top level scope a name to fix VCD hierarchy.
2019-01-02 William D. Joneshdl.xfrm: Add Assert and Assume abstract methods for...
2018-12-29 whitequarkback.pysim: warn if simulation is not run.
2018-12-28 whitequarkhdl.rec: add basic record support.
2018-12-26 whitequarklib.cdc: add tests for MultiReg.
2018-12-22 whitequarkhdl.xfrm: Abstract*Transformer→*Visitor
2018-12-21 whitequarkback.pysim: handle out of bounds ArrayProxy indexes.
2018-12-21 whitequarkback.pysim: give numeric names to unnamed subfragments...
2018-12-21 whitequarkback.pysim: fix an issue with too few funclet slots.
2018-12-18 whitequarkhdl.ast: Cat.{operands→parts}
2018-12-18 whitequarkback.pysim: implement *.
2018-12-18 whitequarktest.sim: add tests for sync functionality and errors.
2018-12-18 whitequarkback.pysim: eliminate most dictionary lookups.
2018-12-18 whitequarkback.pysim: use arrays instead of dicts for signal...
2018-12-18 whitequarkback.pysim: naming. NFC.
2018-12-18 whitequarkback.pysim: fix an off-by-1 in add_sync_process().
2018-12-18 whitequarkback.pysim: trigger processes waiting on Tick() exactly...
2018-12-18 whitequarkback.pysim: continue running simulator processes until...
2018-12-17 whitequarkhdl, back: add and use SignalSet/SignalDict.
2018-12-16 whitequarkhdl.xfrm: separate AST traversal from AST identity...
2018-12-16 whitequarkback.pysim: implement LHS for Part, Slice, Cat, ArrayProxy.
2018-12-15 whitequarkback.pysim: add (stub) LHSValueCompiler.
2018-12-15 whitequarkback.pysim: implement Part.
2018-12-15 whitequarkback.pysim: implement ArrayProxy.
2018-12-15 whitequarkRename fhdl→hdl, genlib→lib.
2018-12-15 whitequarkfhdl.ast, back.pysim: implement shifts.
2018-12-15 whitequarkConsistently use '{!r}' in and only in TypeError messages.
2018-12-14 whitequarkback.pysim: preserve process locations through add_sync...
2018-12-14 whitequarkback.pysim: count delta cycles separately to avoid...
2018-12-14 whitequarkback.pysim: simplify.
2018-12-14 whitequarkback.pysim: revert 70ebc6f2.
2018-12-14 whitequarkback.pysim: fix implicit boolean conversion.
2018-12-14 whitequarkback.pysim: squash one level of hierarchy.
2018-12-14 whitequarkback.pysim: implement blocking assignment semantics...
2018-12-14 whitequarkback.pysim: undriven sync signals should return to...
2018-12-14 whitequarkback.pysim: in simulator sync processes, start by waiti...
2018-12-14 whitequarkback.pysim: make initial phase configurable.
2018-12-14 whitequarkpysim.back: fix add_sync_process wrapper to handle...
2018-12-14 whitequarkback.pysim: Simulator({gtkw_signals→traces}=).
2018-12-14 whitequarkback.pysim: better naming. NFC.
2018-12-14 whitequarkback.pysim: implement most operators and add tests.
2018-12-14 whitequarkback.pysim: close .vcd/.gtkw files on context manager...
2018-12-14 whitequarkback.pysim: show more legible names for processes in...
2018-12-14 whitequarkback.pysim: throw exceptions back at processes.
next