sim._pyrtl: fix miscompilation of -(Const(0b11, 2).as_signed()).
[nmigen.git] / nmigen / back /
2020-07-22 whitequarkback.rtlil: lower maximum accepted wire size.
2020-07-15 whitequarkback.rtlil: fix guard for division by zero.
2020-07-08 whitequarkback.pysim→sim.pysim; split into more manageable parts.
2020-07-08 whitequarkback.pysim: only extract signal names if VCD is requested.
2020-07-08 whitequarkback.pysim: reset timeline as well.
2020-07-08 whitequarkback.pysim: simplify. NFC.
2020-07-08 whitequarkback.pysim: extract timeline handling to class _Timelin...
2020-07-08 whitequarkback.pysim: extract simulator commands to sim._cmds...
2020-07-08 whitequarkback.pysim: simplify. NFC.
2020-07-07 whitequarkback.pysim: simplify.
2020-07-07 whitequarkback.pysim: simplify. NFC.
2020-07-07 whitequarkback.pysim: simplify. NFC.
2020-07-07 whitequarkback.pysim: synchronize waveform writing with cxxrtl.
2020-07-07 whitequarkback.pysim: synchronize terms with cxxrtl. NFC.
2020-07-07 whitequarkback.pysim: simplify. NFC.
2020-07-07 whitequarkback.pysim: simplify. NFC.
2020-07-07 whitequarkRemove everything deprecated in nmigen 0.2.
2020-07-02 whitequark_yosys→_toolchain.yosys
2020-06-28 whitequarkback.pysim: simplify.
2020-06-14 whitequarkback.verilog: refactor Yosys script generation. NFCI.
2020-06-14 whitequarkback.cxxrtl: allow injecting black boxes.
2020-06-11 whitequarkback.cxxrtl: new backend.
2020-06-11 whitequark_yosys: translate Yosys warnings to Python warnings.
2020-06-11 whitequarkback.verilog: remove unused imports. NFC.
2020-05-22 whitequarkback.verilog: fall back to nmigen_yosys package.
2020-05-19 whitequarkback.rtlil: handle signed and large Instance parameters...
2020-04-28 whitequarkback.rtlil: fix incorrect escaping of signed parameters.
2020-04-23 Teguh Hofsteeback.verilog: add workaround for evaluation Verific...
2020-04-22 Teguh Hofsteeback.verilog: make Yosys version check compatible with...
2020-04-16 anuejnhdl.rec: make Record inherit from UserValue. working_23jun2020
2020-04-15 whitequarkback.rtlil: translate enum decoders to Yosys enum attri...
2020-04-13 whitequarkback.rtlil: don't emit connections to zero width ports.
2020-04-13 whitequarkback.rtlil: refuse to create extremely large wires.
2020-04-13 whitequarkback.rtlil: fix expansion of Part() for partial dummy...
2020-04-13 whitequarkback.rtlil: fix legalization of Part() with stride.
2020-04-13 whitequarkClarify a few comments. NFC.
2020-04-08 Stuart Olsenback.pysim: Clear pending updates after they are effected
2020-04-07 Stuart Olsenback.pysim: Eliminate duplicate dict lookup in VCD...
2020-04-07 Stuart Olsenback.pysim: Reuse clock simulation commands
2020-04-03 whitequarkback.pysim: fix emission of undriven traces to VCD...
2020-03-15 Stuart Olsenback.pysim: implement modulus operator.
2020-02-19 whitequarkback.pysim: fix RHS codegen for Cat() and Repl(......
2020-02-19 whitequarkback.pysim: optionally allow introspecting generated...
2020-02-12 whitequarkback.pysim: accept write_vcd(vcd_file=None).
2020-02-06 whitequarkhdl.ast: add Value.{as_signed,as_unsigned}.
2020-02-06 whitequarkback.pysim: emit toplevel inputs in VCD files as well.
2020-02-06 whitequarkback.pysim: make `write_vcd(traces=)` actually use...
2020-02-06 whitequarkhdl.mem: add synthesis attribute support.
2020-02-01 whitequarkhdl.ast: prohibit shifts by signed value.
2020-01-31 whitequarkback.rtlil: don't emit wires for empty signals.
2020-01-01 whitequarkback.rtlil: do not consider unreachable array elements...
2019-12-02 whitequarkback.pysim: fix miscompilation of Signal(unsigned)...
2019-11-28 whitequarkback.pysim: redesign the simulator.
2019-11-27 whitequarkback.rtlil: infer bit width for instance parameters.
2019-11-18 whitequarkback.rtlil: extend shorter operand of a binop when...
2019-10-28 whitequarkback.verilog: remove $verilog_initial_trigger after...
2019-10-26 whitequarkback.rtlil: avoid exponential behavior when legalizing...
2019-10-26 whitequarkback.rtlil: fix lowering of Part() on LHS to account...
2019-10-16 Sebastien Bourdeauducqverilog: fix yosys version error message
2019-10-16 whitequarkback.verilog: fix Yosys version check.
2019-10-13 whitequark{,_}tools→{,_}utils
2019-10-12 whitequarkhdl.ast: rename Slice.end back to Slice.stop.
2019-10-12 whitequark_tools: extract most utility methods to a private package.
2019-10-12 Jean-François Nguyenback.rtlil: fix DeprecationWarning. NFC.
2019-10-11 whitequarkhdl.ast: deprecate shapes like `(1, True)` in favor...
2019-10-11 whitequarkConsistently use {!r}, not '{!r}' in diagnostics.
2019-10-11 whitequarkhdl.ast: Operator.{op→operator}
2019-10-10 whitequarkvendor.intel: add Quartus support.
2019-10-06 whitequarkback.rtlil: don't crash legalizing values with no branches.
2019-10-04 whitequarkback.rtlil: avoid unsoundness for division by zero.
2019-10-02 whitequarkback.rtlil: don't cache wires for legalized switch...
2019-10-02 whitequarkback.rtlil: sign of rhs and lhs of ${sshr,sshl,pow...
2019-10-02 whitequarkback.rtlil: it is not necessary to match binop operand...
2019-09-28 whitequarkhdl.ast: actually implement the // operator.
2019-09-24 whitequarkback.rtlil: fix handling of certain nested arrays.
2019-09-24 whitequarkbuild.plat: strip internal attributes from Verilog...
2019-09-23 whitequarkback.rtlil: give predictable names to anonymous subfrag...
2019-09-20 whitequarkhdl.ast: rename `nbits` to `width`.
2019-09-20 whitequarkback.pysim: fix simulation of Value.xor().
2019-09-13 whitequarkhdl.ast: add Value.xor, mapping to $reduce_xor.
2019-09-13 whitequarkhdl.ast: add Value.{any,all}, mapping to $reduce_{or...
2019-09-11 whitequarkback: return name map from convert_fragment().
2019-09-03 whitequarkhdl.ast,back.rtlil: implement Cover.
2019-08-31 whitequarkhdl.cd: add negedge clock domains.
2019-08-31 Emily_toolchain,build.plat,vendor.*: add required_tools...
2019-08-28 whitequark_toolchain: new module, for injecting dependencies...
2019-08-26 whitequarkback.verilog: bump Yosys version requirement to 0.9.
2019-08-23 whitequarkback.pysim: implement sim.add_clock(if_exists=True). locally_working
2019-08-23 whitequarkback.pysim: don't crash when trying to drive a nonexist...
2019-08-22 whitequarkback.rtlil: print real parameters with maximum precision.
2019-08-22 Darrell Harmonback.rtlil: add support for real (float) parameters...
2019-08-21 whitequarkback.pysim: allow coroutines as processes.
2019-08-19 whitequarkback.verilog: parse output of `yosys -V`.
2019-08-19 whitequarklib.cdc: use a local clock domain in ResetSynchronizer.
2019-08-19 whitequarkback.pysim: index domains by identity, not by name.
2019-08-19 whitequarkback.{rtlil,verilog}: split convert_fragment() off...
2019-08-15 whitequarkhdl.ast: implement Initial.
2019-08-04 whitequarkback.rtlil: use a dummy wire, not 'x, when assigning...
2019-08-03 whitequarkback.rtlil: actually match shape of left hand side.
2019-08-03 whitequarkback.rtlil: fix sim-synth mismatch with assigns followi...
next