nmigen.lib.cdc: port PulseSynchronizer.
[nmigen.git] / nmigen / lib / cdc.py
2020-02-16 awyglenmigen.lib.cdc: port PulseSynchronizer.
2020-01-12 whitequarkRemove everything deprecated in nmigen 0.1.
2019-10-13 whitequark{,_}tools→{,_}utils
2019-10-12 whitequark_tools: extract most utility methods to a private package.
2019-10-11 whitequarkConsistently use {!r}, not '{!r}' in diagnostics.
2019-09-24 whitequarkbuild.plat,lib.cdc,vendor: unify platform related diagn...
2019-09-24 whitequarklib.cdc: specify maximum input delay in seconds.
2019-09-24 Darrell Harmonvendor.xilinx_7series: apply false path / max delay...
2019-09-23 whitequarklib.cdc: add diagnostic checks for synchronization...
2019-09-23 whitequarklib.cdc: expand ResetSynchronizer documentation.
2019-09-23 whitequarklib.cdc: avoid modifying synchronizers in their elabora...
2019-09-23 whitequarklib.cdc: MultiReg→FFSynchronizer.
2019-09-12 whitequarklib.cdc: make domain properties private.
2019-09-12 whitequarklib.cdc: adjust ResetSynchronizer for new CDC primitive...
2019-09-12 whitequarklib.cdc: adjust MultiReg for new CDC primitive conventions.
2019-08-19 whitequarklib.cdc: use a local clock domain in ResetSynchronizer.
2019-06-28 whitequarklib.cdc: avoid interior clock domains in ResetSynchronizer.
2019-06-28 whitequarklib.cdc: eliminate no_retiming attributes.
2019-06-09 Simon Kirkbylib.cdc: fix typo.
2019-04-21 whitequarkhdl.ir: detect elaboratables that are created but not...
2019-03-28 Luke Wrenlib.cdc: add optional reset to MultiReg, and document...
2019-01-26 whitequarklib.cdc: add ResetSynchronizer.
2019-01-26 whitequarkhdl.ir: rename .get_fragment() to .elaborate().
2018-12-15 whitequarkRename fhdl→hdl, genlib→lib.