hdl.ast: don't inherit Shape from NamedTuple.
[nmigen.git] / nmigen / test / test_hdl_ast.py
2020-07-07 awyglehdl.ast: don't inherit Shape from NamedTuple.
2020-07-02 whitequarktest: remove FHDLTestCase.assertRaisesRegex.
2020-06-30 whitequarkAdd (heavily work in progress) documentation.
2020-05-20 whitequarkhdl.ast: add const-shift operations.
2020-04-16 anuejnhdl.rec: make Record inherit from UserValue. working_23jun2020
2020-04-13 Dan Ravenslofthdl.ast: add Value.{rotate_left,rotate_right}.
2020-04-12 whitequarkhdl.ast: improve repr() for Shape.
2020-02-06 whitequarkhdl.ast: add Value.{as_signed,as_unsigned}.
2020-02-04 whitequarkhdl.{ast,dsl}: allow whitespace in bit patterns.
2020-02-01 whitequarkhdl.ast: prohibit shifts by signed value.
2020-01-12 whitequarkRemove everything deprecated in nmigen 0.1.
2020-01-11 Staf VerhaegenSignal: allow to use integral Enum for reset value.
2019-12-04 Marcin Kościelnickihdl.ast: Fix width for unary minus operator on signed...
2019-10-26 whitequarkhdl.ast: simplify {bit,word}_select with constant offset.
2019-10-13 whitequark{,_}tools→{,_}utils
2019-10-12 whitequarkhdl.ast: rename Slice.end back to Slice.stop.
2019-10-11 whitequarkhdl.ast: deprecate shapes like `(1, True)` in favor...
2019-10-11 whitequarkhdl.ast: deprecate Signal.{range,enum}.
2019-10-11 whitequarkhdl.ast: add an explicit Shape class, included in prelude.
2019-10-11 whitequarkConsistently use {!r}, not '{!r}' in diagnostics.
2019-10-11 whitequarkhdl.ast: simplify enum handling.
2019-10-11 whitequarkhdl.ast: Value.{wrap→cast}
2019-10-04 whitequarkhdl.ast: prohibit signed divisors.
2019-10-02 whitequarkhdl.ast: don't crash on Mux(<bool>, ...).
2019-09-28 whitequarkhdl.ast: actually implement the // operator.
2019-09-23 whitequarkhdl.ast: cast Mux() selector to bool if it is not a...
2019-09-23 whitequarkhdl.ast: make Signal(name=) a keyword-only argument.
2019-09-20 whitequarkhdl.ast: rename `nbits` to `width`.
2019-09-16 whitequarkhdl.{ast,dsl}: add Signal.enum; coerce Enum to Value...
2019-09-14 whitequarkhdl.ast: add Value.matches(), accepting same language...
2019-09-13 whitequarkhdl.ast: add Value.xor, mapping to $reduce_xor.
2019-09-13 whitequarkhdl.ast: add Value.{any,all}, mapping to $reduce_{or...
2019-09-10 whitequarkhdl.ast: warn if reset value is truncated.
2019-09-08 whitequarkhdl.ast: check type of Sample(domain=...).
2019-09-08 whitequarkhdl.ast: add Signal.range(...), to replace Signal(min...
2019-08-15 whitequarkhdl.ast: implement Initial.
2019-08-03 whitequarkhdl.ast: deprecate Value.part, add Value.{bit,word...
2019-07-08 whitequarkhdl.{ast,cd,dsl,xfrm}: reject inappropriately used...
2019-07-02 whitequarkhdl.ast: recognize a Enum used as decoder and format...
2019-06-12 whitequarkhdl.ast: add name_suffix=".." option to Signal.like().
2019-06-11 whitequarkhdl.ast: implement values with custom lowering.
2019-06-04 whitequarkhdl.xfrm: handle empty lhs in LHSGroup{Analyzer,Filter}.
2019-04-21 whitequarkhdl.ast: improve tests for exceptional conditions.
2019-04-21 whitequarkhdl.ast: accept Signals with identical min/max bounds.
2019-04-03 whitequarkhdl.ast: handle a common typo, such as Signal(1, True).
2019-01-26 whitequarkhdl.ast: fix shape calculation for *.
2019-01-17 whitequarkhdl.ast: allow sampling ClockSignal, ResetSignal.
2019-01-17 whitequarkhdl.ast: add Sample.
2019-01-16 whitequarkhdl.ast: fix naming of Signal.like() signals when trace...
2018-12-18 whitequarkhdl.ast: Cat.{operands→parts}
2018-12-15 whitequarkhdl.ast: implement Array and ArrayProxy.
2018-12-15 whitequarkhdl: appropriately rename tests. NFC.