back.pysim: Reuse clock simulation commands
[nmigen.git] / nmigen / vendor /
2020-03-20 WRansohoffvendor.lattice_ice40: add support for SB_[LH]FOSC as...
2020-03-15 Nicolas Robinvendor: fix typo `async_ff_sync`
2020-03-12 whitequarkvendor: fix a few issues in commit 2f8669ca.
2020-03-08 awyglelib.cdc: extract AsyncFFSynchronizer.
2020-02-06 whitequarkbuild.res,vendor: place clock constraint on port, not...
2020-02-06 whitequarkxilinx_{7series,ultrascale}: run `report_methodology`.
2020-01-31 Mike Waltersvendor.lattice_ecp5: support internal oscillator (OSCG).
2020-01-31 Sylvain Munautvendor.lattice_{ice40,ecp5}: Support .il (RTLIL) files...
2020-01-12 whitequarkRemove everything deprecated in nmigen 0.1.
2020-01-09 schwigivendor.intel: fix output enable width for XDR=0 case.
2019-12-01 Dan Ravensloftvendor.intel: silence meaningless warnings in nMigen...
2019-11-18 Jean-François Nguyenvendor.xilinx_*: Set IOB attribute on cels instead...
2019-10-14 whitequarkvendor.lattice_ice40: fix commit 88649def.
2019-10-13 whitequarkvendor.lattice_{ice40,ecp5}: fix typo.
2019-10-13 whitequarkvendor.lattice_ice40: use pcf files instead of pre...
2019-10-13 whitequarkvendor.lattice_{ice40,ecp5}: emit Verilog as well,...
2019-10-13 EmilyRefactor build script toolchain lookups.
2019-10-10 whitequarkvendor.xilinx_ultrascale: new supported family.
2019-10-10 whitequarkxilinx_7series: add grade platform property.
2019-10-10 whitequarkvendor.lattice_machxo2: new supported family.
2019-10-10 whitequarkvendor: yosys is a required tool for all Verilog-based...
2019-10-10 whitequarkvendor.intel: add Quartus support.
2019-10-09 whitequarkbuild.plat,vendor: always synchronize reset in default...
2019-09-24 whitequarkbuild.plat: strip internal attributes from Verilog...
2019-09-24 whitequarkbuild.plat,lib.cdc,vendor: unify platform related diagn...
2019-09-24 whitequarklib.cdc: specify maximum input delay in seconds.
2019-09-24 whitequarkvendor.xilinx_spartan_3_6: explain why ASYNC_REG is...
2019-09-24 Kate Temkinvendor.lattice_ecp5: correct a typo in tristate buffer...
2019-09-24 Darrell Harmonvendor.xilinx_7series: apply false path / max delay...
2019-09-23 whitequarkvendor.xilinx_7series: simplify. NFC.
2019-09-23 whitequarkvendor.xilinx_7series: override reset synchronizer.
2019-09-23 whitequarklib.cdc: avoid modifying synchronizers in their elabora...
2019-09-23 Darrell Harmonvendor.xilinx_spartan_3_6: override reset synchronizer.
2019-09-23 whitequarklib.cdc: MultiReg→FFSynchronizer.
2019-09-22 whitequarkvendor.lattice_ice40: fix required tool list for iCECub...
2019-09-22 whitequarkvendor.lattice_ecp5: simplify quoting. NFC.
2019-09-21 whitequarkvendor.lattice_ice40: add iCECube support.
2019-09-21 whitequarkbuild.plat: NMIGEN_<toolchain>_env→NMIGEN_ENV_<toolchain>
2019-09-20 whitequarkvendor.lattice_{ecp5,ice40}: allow clock constraints...
2019-09-20 Darrell Harmonvendor.xilinx_{7series,spartan3_6}: specialize MultiReg.
2019-09-12 whitequarkbuild.plat: bypass tool detection if NMIGEN_*_env is...
2019-09-12 whitequarkvendor.xilinx_7series: Vivado requires bash on *nix...
2019-09-11 whitequarkbuild.plat,vendor: allow clock constraints on arbitrary...
2019-09-10 Darrell Harmonvendor.lattice_ecp5: pass ecppack_opts to ecppack.
2019-08-31 Emily_toolchain,build.plat,vendor.*: add required_tools...
2019-08-30 whitequarkvendor.lattice_ecp5: drive GSR synchronous to user...
2019-08-25 whitequarkvendor.lattice_ecp5: revert default toolchain to Trellis.
2019-08-22 whitequarkvendor.lattice_ecp5: add Diamond support.
2019-08-22 whitequarkvendor: eliminate unnecessary LUT instantiation.
2019-08-21 Darrell Harmonvendor.xilinx_series7: use STARTUPE2, not STARTUPE3.
2019-08-21 whitequarkvendor.lattice_ice40: remove `--placer heap` default...
2019-08-21 whitequarkvendor: style. NFC.
2019-08-21 whitequarkbuild.plat: remove TemplatedPlatform.unix_interpreter.
2019-08-19 whitequarkvendor.lattice_ice40: use a local clock domain in creat...
2019-08-07 whitequarkvendor.lattice_ice40: add iCE5LP2K support.
2019-08-07 whitequarkvendor.lattice_ice40: add iCE40UP3K support.
2019-08-07 whitequarkvendor.lattice_ice40: add iCE5LP1K support.
2019-08-04 whitequarkvendor.xilinx_{spartan_3_6,7series}: reconsider default...
2019-08-04 whitequarkvendor.xilinx_spartan_3_6: reconsider bitgen defaults.
2019-08-04 whitequarkvendor.xilinx_spartan_3_6: set bitgen defaults to ...
2019-08-04 whitequarkvendor.xilinx_spartan_3_6: always use -w for map/par...
2019-08-04 whitequarkvendor.xilinx_spartan_3_6: do not use retiming by default.
2019-08-04 whitequarkvendor.xilinx_spartan_3_6: force use of bash on UNIX.
2019-08-04 whitequarkvendor.lattice_ice40: avoid routing conflicts with...
2019-08-03 whitequarkvendor.lattice_ice40: add missing signal indexing.
2019-08-03 whitequarkbuild.plat,vendor: automatically create sync domain...
2019-07-21 N. Engelhardtvendor: don't emit duplicate iobuf submodule names.
2019-07-07 Alain Péteutvendor.xilinx_7series: generate also binary bitfile.
2019-07-07 William D. Jonesvendor.xilinx_spartan_3_6: Add Spartan3A family support.
2019-07-07 whitequarkvendor.lattice_ecp5: don't leave LUT inputs disconnected.
2019-07-07 whitequarkbuild.plat: source a script with toolchain environment.
2019-07-06 whitequarkbuild.plat, vendor.*: don't join strings passed as...
2019-07-04 Staf Verhaegenvendor.xilinx_{7series,spartan6}: Support extra VHDL...
2019-07-03 whitequarkvendor: give names to IO buffer instances.
2019-07-03 Sebastien Bourdeauducqlattice_ecp5: fix get_input
2019-07-02 Alain Péteutbuild.plat: add iter_extra_files method.
2019-07-02 Alain Péteutvendor.xilinx_7series: read extra .xdc files.
2019-06-28 whitequarkvendor.lattice_ice40: fix instance of negedge FF due...
2019-06-25 whitequarkvendor.xilinx_{spartan6,7series}: speedgrade→speed.
2019-06-25 whitequarkvendor.lattice_ecp5: implement.
2019-06-19 whitequarkvendor.lattice_ice40: use different --package for 4k...
2019-06-17 Jean-François Nguyenvendor.xilinx_7series: fix IOB packing.
2019-06-17 whitequarkvendor.xilinx_{7series,spartan6}: emit IBUF/OBUF explic...
2019-06-17 whitequarkvendor.xilinx_{7series,spartan6}: cleanup. NFC.
2019-06-17 whitequarkvendor.xilinx_{7series,spartan6}: connect FCDE and...
2019-06-14 whitequarkvendor.lattice_ice40: never place an inverter on global...
2019-06-13 Jean-François Nguyenvendor.xilinx_7series: implement inverters.
2019-06-13 Jean-François Nguyenvendor.xilinx_spartan6: implement DDR I/O buffers and...
2019-06-12 whitequarkSimplify code by using Signal.like(name_suffix=".....
2019-06-12 Jean-François Nguyenvendor.xilinx_7series: implement DDR I/O buffers.
2019-06-12 whitequarkvendor.lattice_ice40: fix typo.
2019-06-12 whitequarkbuild.{dsl,res,plat}: add PinsN and DiffPairsN.
2019-06-07 Jean-François Nguyenvendor.xilinx_spartan6: implement.
2019-06-07 Jean-François Nguyenvendor.xilinx_7series: fix typos.
2019-06-06 Jean-François Nguyenvendor.xilinx_7series: implement.
2019-06-05 whitequarkbuild.{dsl,res,plat}: apply clock constraints to signal...
2019-06-05 whitequarkbuild.dsl: replace extras= with Attrs().
2019-06-05 whitequarkTypos and style fixes. NFC.
2019-06-04 whitequarkvendor.lattice_ice40: normalize device names.
2019-06-04 whitequarkvendor.board: split off into nmigen-boards package.
next