ice40: Adapt the relut process passes to the new $lut <=> SB_LUT4 port map
[yosys.git] / passes / cmds /
2019-07-09 Eddie HungMerge pull request #1170 from YosysHQ/eddie/fix_double_...
2019-07-09 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-07-09 Clifford WolfMerge pull request #1168 from whitequark/bugpoint-processes
2019-07-09 whitequarkbugpoint: add -assigns and -updates options.
2019-06-27 Bogdan VukobratovicMerge remote-tracking branch 'upstream/master'
2019-06-26 Eddie HungMerge branch 'xc7nocarrymux' of https://github.com...
2019-06-21 Eddie HungMerge remote-tracking branch 'origin/eddie/muxpack...
2019-06-21 Eddie HungMerge branch 'master' into eddie/muxpack
2019-06-21 Eddie HungMerge pull request #1085 from YosysHQ/eddie/shregmap_im...
2019-06-21 Clifford WolfMerge pull request #1117 from bwidawsk/more-home
2019-06-21 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-20 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-20 Clifford WolfFix typo, fixes #1095
2019-06-20 Clifford WolfMerge branch 'master' of https://github.com/bogdanvuk...
2019-06-20 Clifford WolfFix typo
2019-06-20 Clifford WolfMerge branch 'unpacked_arrays' of https://github.com...
2019-06-19 Eddie HungMerge pull request #1111 from acw1251/help_summary_fixes
2019-06-19 acw1251Fixed the help summary line for a few commands
2019-06-14 Eddie HungMerge branch 'xaig' into xc7mux
2019-06-14 Bogdan VukobratovicMerge remote-tracking branch 'upstream/master'
2019-06-13 Serge BazanskiMerge pull request #829 from abdelrahmanhosny/master
2019-06-12 Eddie HungRevert "For 'stat' do not count modules with abc_box_id"
2019-06-12 Eddie HungMerge remote-tracking branch 'origin/xc7mux' into xaig
2019-06-12 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-11 Eddie HungMerge remote-tracking branch 'origin/eddie/shregmap_imp...
2019-06-10 Eddie HungMerge remote-tracking branch 'origin/eddie/shregmap_imp...
2019-06-10 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-07 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-07 Clifford WolfMerge branch 'pr_elab_sys_tasks' of https://github...
2019-06-07 Clifford WolfMerge branch 'implicit_named_connection' of https:...
2019-06-06 Eddie HungMerge remote-tracking branch 'origin/eddie/muxpack...
2019-06-06 Eddie HungMerge remote-tracking branch 'origin/eddie/muxpack...
2019-06-06 Clifford WolfMerge pull request #1060 from antmicro/parsing_attr_on_...
2019-06-06 David ShahMerge pull request #1073 from whitequark/ecp5-diamond-iob
2019-06-05 Eddie HungMerge pull request #1067 from YosysHQ/clifford/fix1065
2019-06-05 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-05 Eddie HungMerge remote-tracking branch 'origin/clifford/fix1065...
2019-06-05 Clifford WolfMerge pull request #1066 from YosysHQ/clifford/fix1056
2019-06-05 Clifford WolfMajor rewrite of wire selection in setundef -init
2019-06-05 Clifford WolfIndent fix
2019-06-05 Clifford WolfMerge pull request #999 from jakobwenzel/setundefInitFix
2019-06-04 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-05-31 Eddie HungMerge branch 'xaig' into xc7mux
2019-05-31 Clifford WolfFix "tee" handling of log_streams
2019-05-28 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-05-26 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-05-23 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-05-23 Eddie HungMerge remote-tracking branch 'origin/eddie/opt_rmdff...
2019-05-21 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-05-21 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-05-16 Clifford WolfMerge pull request #1013 from antmicro/parameter_attributes
2019-05-15 Clifford WolfDo not leak file descriptors in cover.cc
2019-05-15 Clifford WolfMerge pull request #1011 from hzeller/fix-constructing...
2019-05-15 David ShahMerge pull request #1005 from smunaut/ice40_hfosc_trim
2019-05-15 Henner ZellerFix two instances of integer-assignment to string.
2019-05-14 whitequarkbugpoint: check for -script option.
2019-05-11 Clifford WolfMerge pull request #1003 from makaimann/zinit-all
2019-05-11 Clifford WolfAdd "stat -tech xilinx"
2019-05-09 Jakob Wenzelinitialize more registers in setundef -init
2019-05-08 Clifford WolfMerge pull request #991 from kristofferkoch/gcc9-warnings
2019-05-08 Kristoffer Ellersg... Fix all warnings that occurred when compiling with...
2019-05-06 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys into...
2019-05-03 Eddie HungMerge remote-tracking branch 'origin/master' into cliff...
2019-05-03 Clifford WolfMerge pull request #969 from YosysHQ/clifford/pmgenstuff
2019-05-03 Clifford WolfMerge pull request #976 from YosysHQ/clifford/fix974
2019-05-02 Eddie HungMerge remote-tracking branch 'origin/clifford/pmgenstuf...
2019-05-02 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-05-02 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-05-01 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-05-01 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-05-01 Clifford WolfMerge pull request #977 from ucb-bar/fixfirrtlmem
2019-05-01 Clifford WolfFix floating point exception in qwp, fixes #923
2019-04-30 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-04-30 Benedikt TutzerMerge branch 'master' of https://github.com/YosysHQ...
2019-04-26 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-04-26 Eddie HungMerge remote-tracking branch 'origin/eddie/split_shiftx...
2019-04-26 Eddie HungMerge branch 'eddie/split_shiftx' into xc7mux
2019-04-22 Eddie HungMerge pull request #914 from YosysHQ/xc7srl
2019-04-22 Eddie HungMerge branch 'xaig' into xc7mux
2019-04-22 Eddie HungMerge remote-tracking branch 'origin/xc7srl' into xc7mux
2019-04-22 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-22 Clifford WolfMerge pull request #952 from YosysHQ/clifford/fix370
2019-04-22 Clifford WolfMerge pull request #951 from YosysHQ/clifford/logdebug
2019-04-22 Eddie HungMerge remote-tracking branch 'origin/master' into xc7srl
2019-04-22 Clifford WolfAdd log_debug() framework
2019-04-22 Clifford WolfMerge pull request #905 from christian-krieg/feature...
2019-04-22 Clifford WolfMerge pull request #941 from Wren6991/sim_lib_io_clke
2019-04-22 Clifford WolfMerge branch 'master' of https://github.com/dh73/yosys_...
2019-04-22 Clifford WolfMerge pull request #911 from mmicko/gowin-nobram
2019-04-22 Clifford WolfMerge pull request #909 from zachjs/master
2019-04-22 Clifford WolfMerge pull request #944 from YosysHQ/clifford/pmux2shiftx
2019-04-21 Eddie HungMerge branch 'master' into map_cells_before_map_luts
2019-04-21 Eddie HungMerge remote-tracking branch 'origin/clifford/pmux2shif...
2019-04-21 Eddie HungMerge remote-tracking branch 'origin/master' into xc7srl
2019-04-20 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-20 Clifford WolfMerge pull request #943 from YosysHQ/clifford/whitebox
2019-04-20 Eddie HungMerge remote-tracking branch 'origin/pmux2shiftx' into...
2019-04-20 Eddie HungMerge remote-tracking branch 'origin' into xc7srl
2019-04-20 Clifford WolfAdd "wbflip" command
2019-04-20 Clifford WolfMerge pull request #942 from YosysHQ/clifford/fix931
next