Merge remote-tracking branch 'origin/master' into xaig
[yosys.git] / passes / hierarchy /
2019-04-08 Eddie HungMerge branch 'master' into xaig
2019-04-02 Eddie HungMerge pull request #895 from YosysHQ/pmux2shiftx
2019-03-28 Clifford WolfMerge pull request #903 from YosysHQ/bram_reset_transp
2019-03-26 Clifford WolfAdd "hdlname" attribute
2019-03-19 Eddie HungMerge https://github.com/YosysHQ/yosys into read_aiger
2019-03-09 Clifford WolfMerge pull request #859 from smunaut/ice40_braminit
2019-03-05 Clifford WolfMerge pull request #842 from litghost/merge_upstream
2019-03-05 Clifford WolfMerge pull request #850 from daveshah1/ecp5_warn_conflict
2019-03-03 Clifford WolfMerge pull request #848 from YosysHQ/clifford/fix763
2019-03-03 Clifford WolfMerge pull request #849 from YosysHQ/clifford/dynports
2019-03-02 Clifford WolfOnly run derive on blackbox modules when ports have...
2019-02-28 Clifford WolfMerge pull request #794 from daveshah1/ecp5improve
2019-02-26 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-02-24 Clifford WolfMinor changes ontop of 71bcc4c: Remove hierarchy warnin...
2019-02-24 Clifford WolfMerge pull request #812 from ucb-bar/arrayhierarchyfixes
2019-02-23 Jim LawsonAddress requested changes - don't require non-$ name.
2019-02-19 Jim LawsonFix normal (non-array) hierarchy -auto-top.
2019-02-15 Jim LawsonDefine basic_cell_type() function and use it to derive...
2019-01-02 Clifford WolfMerge pull request #770 from whitequark/opt_expr_cmp
2019-01-02 Clifford WolfMerge pull request #755 from Icenowy/anlogic-dram-init
2019-01-02 Clifford WolfMerge pull request #750 from Icenowy/anlogic-ff-init
2019-01-02 Clifford WolfMerge pull request #773 from whitequark/opt_lut_elim_fixes
2019-01-02 Clifford WolfMerge pull request #772 from whitequark/synth_lut
2019-01-02 Clifford WolfMerge pull request #771 from whitequark/techmap_cmp2lut
2019-01-02 Clifford WolfMerge pull request #769 from whitequark/typos
2019-01-02 whitequarkFix typographical and grammatical errors and inconsiste...
2018-10-25 Clifford WolfMerge pull request #679 from udif/pr_syntax_error
2018-10-23 Clifford WolfMerge pull request #677 from daveshah1/ecp5_dsp
2018-10-21 rafaeltpMerge pull request #1 from YosysHQ/master
2018-10-20 Clifford WolfMerge pull request #674 from rubund/feature/svinterface...
2018-10-20 Ruben UndheimRefactor code to avoid code duplication + added comments
2018-10-20 Ruben UndheimSupport for SystemVerilog interfaces as a port in the...
2018-10-19 Clifford WolfMerge pull request #672 from daveshah1/fix_bram
2018-10-18 Clifford WolfMerge pull request #659 from rubund/sv_interfaces
2018-10-13 Ruben UndheimDocumentation improvements etc.
2018-10-12 Ruben UndheimSupport for 'modports' for System Verilog interfaces
2018-10-12 Ruben UndheimSynthesis support for SystemVerilog interfaces
2018-09-17 Udi FinkelsteinMerge branch 'master' into pr_reg_wire_error
2018-08-22 Jim LawsonMerge pull request #1 from YosysHQ/master
2018-08-18 Aman GoelMerge pull request #3 from YosysHQ/master
2018-08-15 Clifford WolfMerge pull request #573 from cr1901/msys-64
2018-08-15 Clifford WolfMerge pull request #591 from hzeller/virtual-override
2018-08-15 Clifford WolfMerge pull request #513 from udif/pr_reg_wire_error
2018-08-15 Clifford WolfMerge pull request #562 from udif/pr_fix_illegal_port_decl
2018-07-21 Henner ZellerConsistent use of 'override' for virtual methods in...
2018-07-04 Aman GoelMerge branch 'YosysHQ-master'
2018-07-04 Aman GoelMerging with official repo
2018-06-20 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys
2018-06-20 Clifford WolfAdd automatic verific import in hierarchy command
2018-05-31 Clifford WolfBugfix in handling of array instances with empty ports
2018-05-13 Clifford WolfMerge pull request #505 from thefallenidealist/FreeBSD_...
2018-05-12 Clifford WolfAdd "hierarchy -simcheck"
2018-03-27 Clifford WolfMerge pull request #515 from edcote/patch-1
2018-03-27 Clifford WolfChenged "extensions_map" to "extensions_list" in hierar...
2018-03-27 Clifford WolfMerge pull request #518 from xerpi/master
2018-03-27 Sergi Granellpasses/hierarchy: Reduce code duplication in expand_module
2018-03-26 Clifford WolfAdd .sv support to "hierarchy -libdir"
2018-01-07 Clifford WolfBugfix in hierarchy blackbox module port width handling
2018-01-05 Clifford WolfMerge pull request #479 from Fatsie/latch_without_data
2018-01-05 Clifford WolfBugfix in hierarchy handling of blackbox module ports
2018-01-04 Clifford WolfMerge pull request #480 from Fatsie/liberty_value_expre...
2018-01-04 Clifford WolfTemporarily derive blackbox modules in hierarchy to...
2017-08-20 Clifford WolfRename "singleton" pass to "uniquify"
2017-07-22 Clifford WolfAdd error for cell output ports that are connected...
2017-07-21 Clifford WolfFix handling of empty cell port assignments (i.e. ignor...
2017-02-25 Clifford WolfMerge branch 'master' of https://github.com/klammerj...
2017-02-25 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2017-02-25 Clifford WolfAdd $live and $fair cell types, add support for s_event...
2017-02-14 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2017-02-13 Clifford WolfDo not fix port widths on any blackbox instances
2017-02-12 Clifford WolfDo not eagerly fix port widths on parameterized cells
2017-02-11 Clifford WolfMerge branch 'master' of https://github.com/stv0g/yosys...
2017-02-09 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2017-02-04 Clifford WolfAdd $cover cell type and SVA cover() support
2017-01-31 Clifford WolfMerge branch 'opt_compare_pr' of https://github.com...
2017-01-26 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2017-01-16 Clifford WolfMerge pull request #293 from thoughtpolice/minor-cleanup
2017-01-15 Austin Seipppasses/hierarchy: delete some dead code
2017-01-01 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2017-01-01 Clifford WolfAdded cell port resizing to hierarchy pass
2016-11-15 Clifford WolfAdded support for hierarchical defparams
2016-11-09 Clifford WolfMinor bugfix in submod
2016-11-02 Clifford WolfBugfix in "hierarchy -check"
2016-10-22 Clifford WolfAdded avail params to ilang format, check module params...
2016-08-28 Clifford WolfRemoved $predict again
2016-08-16 Clifford WolfFixed use-after-free dict<> usage pattern in hierarchy.cc
2016-07-21 Clifford WolfAfter reading the SV spec, using non-standard predict...
2016-07-13 Clifford WolfAdded basic support for $expect cells
2016-07-08 Clifford WolfMerge branch 'yosys-0.5-vtr' of https://github.com...
2016-05-22 Clifford WolfMerge pull request #172 from zeldin/deterministic_hierarchy
2016-05-22 Marcus ComstedtMade the expansion order of hierarchy deterministic
2016-04-23 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-04-21 Clifford WolfAdded "yosys -D" feature
2016-03-21 Clifford WolfCleanup abstract modules at end of "hierarchy -top"
2016-01-08 Clifford WolfAdded "submod -copy"
2015-12-07 Clifford WolfMerge pull request #108 from cseed/master
2015-11-07 Clifford WolfAdded "singleton" pass
2015-10-25 Clifford WolfImport more std:: stuff into Yosys namespace
2015-08-14 Clifford WolfSpell check (by Larry Doolittle)
2015-07-25 Clifford WolfKeep modules with $assume (like $assert)
next