Fix "tee" handling of log_streams
[yosys.git] / passes / opt / opt_rmdff.cc
2019-05-27 Stefan BiereigelMerge branch 'master' into wandwor
2019-05-27 Clifford WolfMerge pull request #1026 from YosysHQ/clifford/fix1023
2019-05-27 Clifford WolfMerge pull request #1030 from Kmanfi/makefile_osx
2019-05-26 Clifford WolfMerge pull request #1035 from YosysHQ/eddie/opt_rmdff
2019-05-25 Eddie HungRevert enable check
2019-05-25 Eddie Hungopt_rmdff to optimise even in presence of enable signal...
2019-05-24 Eddie HungAdd comments
2019-05-24 Eddie HungResolve @cliffordwolf review, set even if !has_init
2019-05-23 Eddie HungFix spacing
2019-05-23 Eddie Hungopt_rmdff to work on $dffe and $_DFFE_*
2019-03-28 Benedikt TutzerMerge remote-tracking branch 'origin/master' into featu...
2019-03-19 Eddie HungMerge https://github.com/YosysHQ/yosys into read_aiger
2019-02-28 Clifford WolfMerge pull request #794 from daveshah1/ecp5improve
2019-02-26 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-02-24 Clifford WolfMerge pull request #812 from ucb-bar/arrayhierarchyfixes
2019-02-22 Clifford WolfMerge pull request #819 from YosysHQ/clifford/optd
2019-02-22 Clifford WolfMerge pull request #820 from YosysHQ/clifford/fix810
2019-02-22 Clifford WolfMerge pull request #740 from daveshah1/improve_dress
2019-02-21 Clifford WolfMerge pull request #818 from YosysHQ/clifford/dffsrfix
2019-02-21 Clifford WolfFix opt_rmdff handling of $_DFFSR_???_ and $_DLATCHSR_...
2018-09-17 Udi FinkelsteinMerge branch 'master' into pr_reg_wire_error
2018-08-22 Jim LawsonMerge pull request #1 from YosysHQ/master
2018-08-18 Aman GoelMerge pull request #3 from YosysHQ/master
2018-08-15 Clifford WolfMerge pull request #573 from cr1901/msys-64
2018-08-15 Clifford WolfMerge pull request #591 from hzeller/virtual-override
2018-07-21 Henner ZellerConsistent use of 'override' for virtual methods in...
2018-02-26 Clifford WolfFix opt_rmdff handling of $dlatchsr
2017-11-24 Clifford WolfMerge pull request #446 from mithro/travis-rework
2017-11-09 dh73Merge https://github.com/cliffordwolf/yosys
2017-10-26 Clifford WolfFix memory corruption bug in opt_rmdff
2017-08-09 Clifford WolfAdd support for set-reset cell variants to opt_rmdff
2017-08-06 Clifford WolfAdd handling of constant reset signals to opt_rmdff
2017-02-11 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2017-02-11 Clifford WolfMerge branch 'master' of https://github.com/stv0g/yosys...
2017-02-09 Clifford WolfFix handling of init attributes with strange width
2017-02-09 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2017-01-31 Clifford WolfMerge branch 'opt_compare_pr' of https://github.com...
2017-01-31 Clifford WolfImprove opt_rmdff support for $dlatch cells
2016-10-14 Clifford WolfAdded opt_rmdff support for $ff cells
2016-09-30 Clifford WolfAdded "opt_rmdff -keepdc"
2016-08-29 Clifford WolfImproved init spec handling in opt_rmdff, modernized...
2016-07-08 Clifford WolfMerge branch 'yosys-0.5-vtr' of https://github.com...
2016-04-23 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-04-21 Clifford WolfAdded "yosys -D" feature
2015-12-07 Clifford WolfMerge pull request #108 from cseed/master
2015-08-18 Clifford WolfProperly clean up unused "init" attributes
2015-07-25 Clifford WolfSome cleanups in opt_rmdff
2015-07-24 Clifford WolfImproved $adff simplification
2015-07-02 Clifford WolfFixed trailing whitespaces
2015-05-23 Clifford WolfAdded simple $dlatch support to opt_rmdff
2015-04-18 Clifford WolfImproved handling of init values in opt_rmdff
2015-04-03 Ahmed IrfanMerge branch 'master' of https://github.com/cliffordwol...
2014-10-16 Clifford WolfDon't be too smart with $dff cells with "init" attribut...
2014-09-27 Clifford Wolfnamespace Yosys
2014-09-22 Ahmed IrfanMerge branch 'master' of https://github.com/cliffordwol...
2014-08-30 Clifford WolfAdded design->scratchpad
2014-08-02 Clifford WolfMore cleanups related to RTLIL::IdString usage
2014-07-31 Clifford WolfRenamed port access function on RTLIL::Cell, added...
2014-07-27 Clifford WolfRefactoring: Renamed RTLIL::Design::modules to modules_
2014-07-26 Clifford WolfRefactoring: Renamed RTLIL::Module::cells to cells_
2014-07-26 Clifford WolfRefactoring: Renamed RTLIL::Module::wires to wires_
2014-07-26 Clifford WolfMerge automatic and manual code changes for new cell...
2014-07-26 Clifford WolfChanged users of cell->connections_ to the new API...
2014-07-26 Clifford WolfRenamed RTLIL::{Module,Cell}::connections to connections_
2014-07-25 Clifford WolfUse only module->addCell() and module->remove() to...
2014-07-22 Clifford WolfSigSpec refactoring: using the accessor functions every...
2014-07-22 Clifford WolfSigSpec refactoring: renamed chunks and width to __chun...
2014-02-04 Clifford WolfFixed detection of init attribute in opt_rmdff
2014-02-04 Clifford WolfImproved handling of reg init in opt_share and opt_rmdff
2014-02-02 Clifford WolfAdded constant-clock case to opt_rmdff
2014-01-18 Ahmed IrfanMerge branch 'master' of https://github.com/cliffordwol...
2014-01-17 Ahmed IrfanMerge branch 'master' of https://github.com/ahmedirfan1...
2014-01-17 Ahmed IrfanMerge pull request #4 from cliffordwolf/master
2014-01-17 Clifford WolfAdded support for $adff with undef data inputs to opt_rmdff
2013-05-24 Clifford WolfAdded log_abort() api
2013-05-23 Clifford WolfSome improvements in opt_rmdff
2013-03-01 Clifford WolfAdded help messages for opt_* passes
2013-01-05 Clifford Wolfinitial import