dfflegalize: Add tests for aldff lowering.
[yosys.git] / passes / sat / async2sync.cc
2021-10-07 Marcelina KościelnickaFfData: some refactoring.
2021-10-02 Marcelina Kościelnickakernel/ff: Refactor FfData to enable FFs with async...
2021-06-09 Claire XenMerge pull request #2817 from YosysHQ/claire/fixemails
2021-06-07 Claire Xenia WolfFixing old e-mail addresses and deadnames
2020-11-25 Claire XenMerge pull request #2133 from dh73/nodev_head
2020-11-24 Miodrag MilanovićMerge pull request #2295 from epfl-vlsc/firrtl_blackbox...
2020-08-19 clairexenMerge pull request #2122 from PeterCrozier/struct_array2
2020-08-18 Claire WolfMerge branch 'const-func-block-var' of https://github...
2020-08-18 clairexenMerge pull request #2281 from zachjs/const-real
2020-07-30 Marcelina Kościelnickaasync2sync: Support all FF types.
2020-07-26 clairexenMerge pull request #2299 from zachjs/arg-loop
2020-07-24 Marcelina Kościelnickaasync2sync: Refactor to use FfInitVals.
2020-07-02 clairexenMerge pull request #2132 from YosysHQ/eddie/verific_initial
2020-06-19 whitequarkMerge pull request #2173 from whitequark/use-cxx11...
2020-06-18 whitequarkUse C++11 final/override keywords.
2020-04-15 N. EngelhardtMerge pull request #1830 from boqwxp/qbfsat
2020-04-10 whitequarkMerge pull request #1603 from whitequark/ice40-ram_style
2020-04-08 Sahand KashaniMerge branch 'master' of github.com:YosysHQ/yosys into...
2020-04-07 Claire WolfMerge pull request #1814 from YosysHQ/mmicko/pyosys_mak...
2020-04-06 whitequarkMerge pull request #1859 from boqwxp/design_duplicate
2020-04-03 Eddie HungMerge pull request #1648 from YosysHQ/eddie/cmp2lcu
2020-04-02 Eddie HungMerge pull request #1853 from YosysHQ/eddie/fix_dynslice
2020-04-02 Eddie HungMerge pull request #1767 from YosysHQ/eddie/idstrings
2020-04-02 Eddie Hungkernel: big fat patch to use more ID::*, otherwise...
2020-01-29 Claire WolfMerge branch 'vector_fix' of https://github.com/Kmanfi...
2019-11-22 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-10-27 Clifford WolfMerge pull request #1393 from whitequark/write_verilog...
2019-10-21 Pepijn de VosMerge branch 'master' of https://github.com/YosysHQ...
2019-10-01 SergeyMerge branch 'master' into SergeyDegtyar/efinix
2019-10-01 SergeyMerge branch 'master' into SergeyDegtyar/anlogic
2019-09-30 Eddie HungMerge branch 'SergeyDegtyar/ecp5' of https://github...
2019-09-30 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2019-09-30 whitequarkMerge pull request #1406 from whitequark/connect_rpc
2019-09-30 Eddie HungMerge pull request #1397 from btut/fix/python_wrappers_...
2019-09-30 Miodrag MilanovićMerge pull request #1416 from YosysHQ/mmicko/frontend_b...
2019-09-30 Clifford WolfMerge pull request #1412 from YosysHQ/eddie/equiv_opt_a...
2019-09-30 Clifford WolfMerge pull request #1417 from YosysHQ/clifford/fixasync...
2019-09-30 Clifford WolfFix $dlatch handling in async2sync
2019-09-27 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2019-09-27 Aman GoelMerge pull request #7 from YosysHQ/master
2019-09-04 Pepijn de VosMerge remote-tracking branch 'diego/gowin'
2019-08-30 Eddie HungMerge branch 'xc7dsp' of github.com:YosysHQ/yosys into...
2019-08-30 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-30 David ShahMerge branch 'master' into xc7dsp
2019-08-29 SergeyMerge pull request #3 from YosysHQ/Sergey/tests_ice40
2019-08-28 Eddie HungMerge branch 'eddie/xilinx_srl' into xaig_arrival
2019-08-28 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_...
2019-08-28 Eddie HungMerge pull request #1334 from YosysHQ/clifford/async2sy...
2019-08-28 Eddie HungMerge remote-tracking branch 'origin/clifford/async2syn...
2019-08-28 Clifford WolfAdd $dlatch support to async2sync
2019-06-13 Serge BazanskiMerge pull request #829 from abdelrahmanhosny/master
2019-04-08 Eddie HungMerge branch 'master' into xaig
2019-04-01 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-03-28 Benedikt TutzerMerge remote-tracking branch 'origin/master' into featu...
2019-03-19 Eddie HungMerge https://github.com/YosysHQ/yosys into read_aiger
2019-03-14 Eddie HungMerge remote-tracking branch 'origin/master' into xc7srl
2019-03-09 Clifford WolfMerge pull request #859 from smunaut/ice40_braminit
2019-03-09 Clifford WolfAdd $dffsr support to async2sync
2018-09-17 Udi FinkelsteinMerge branch 'master' into pr_reg_wire_error
2018-08-22 Jim LawsonMerge pull request #1 from YosysHQ/master
2018-08-18 Aman GoelMerge pull request #3 from YosysHQ/master
2018-08-15 Clifford WolfMerge pull request #573 from cr1901/msys-64
2018-08-15 Clifford WolfMerge pull request #591 from hzeller/virtual-override
2018-08-15 Clifford WolfMerge pull request #576 from cr1901/no-resource
2018-08-15 Clifford WolfMerge pull request #513 from udif/pr_reg_wire_error
2018-08-15 Clifford WolfMerge pull request #562 from udif/pr_fix_illegal_port_decl
2018-07-21 Henner ZellerConsistent use of 'override' for virtual methods in...
2018-07-19 Clifford WolfAdd async2sync pass