Merge pull request #1050 from YosysHQ/clifford/wandwor
[yosys.git] / passes / sat / clk2fflogic.cc
2019-03-28 Benedikt TutzerMerge remote-tracking branch 'origin/master' into featu...
2018-09-17 Udi FinkelsteinMerge branch 'master' into pr_reg_wire_error
2018-08-22 Jim LawsonMerge pull request #1 from YosysHQ/master
2018-08-18 Aman GoelMerge pull request #3 from YosysHQ/master
2018-08-15 Clifford WolfMerge pull request #573 from cr1901/msys-64
2018-08-15 Clifford WolfMerge pull request #591 from hzeller/virtual-override
2018-07-21 Henner ZellerConsistent use of 'override' for virtual methods in...
2018-02-26 Clifford WolfAdd $dlatchsr support to clk2fflogic
2017-12-14 Clifford WolfMerge branch 'master' into btor-ng
2017-12-14 Clifford WolfFix a bug in clk2fflogic memory handling
2017-12-14 Clifford WolfMerge branch 'master' into btor-ng
2017-12-14 Clifford WolfAdd clk2fflogic memory support
2017-12-13 Clifford WolfCheck for memories in clk2fflogic
2016-10-19 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-10-17 Clifford WolfAdded clk2fflogic support for $dffsr and $dlatch
2016-10-16 Clifford WolfImprovements and bugfixes in clk2fflogic
2016-10-14 Clifford WolfSome minor build fixes for Visual C
2016-10-14 Clifford WolfAdded clk2fflogic