assertpmux: Fix crash on unused $pmux output.
[yosys.git] / passes / sat / clk2fflogic.cc
2020-11-25 Claire XenMerge pull request #2133 from dh73/nodev_head
2020-11-24 Miodrag MilanovićMerge pull request #2295 from epfl-vlsc/firrtl_blackbox...
2020-10-22 N. EngelhardtMerge pull request #2403 from nakengelhardt/sim_timescale
2020-10-21 Marcelina Kościelnickaclk2fflogic: Use Mem helper.
2020-08-19 clairexenMerge pull request #2122 from PeterCrozier/struct_array2
2020-08-18 Claire WolfMerge branch 'const-func-block-var' of https://github...
2020-08-18 clairexenMerge pull request #2281 from zachjs/const-real
2020-07-30 Marcelina Kościelnickaasync2sync: Support all FF types.
2020-07-26 clairexenMerge pull request #2299 from zachjs/arg-loop
2020-07-24 Marcelina Kościelnickaclk2fflogic: Support all FF types.
2020-07-16 clairexenMerge pull request #2229 from Ravenslofty/sf2_remove_sf...
2020-07-16 Miodrag MilanovićMerge pull request #2238 from YosysHQ/mwk/dfflegalize...
2020-07-16 Miodrag MilanovićMerge pull request #2226 from YosysHQ/mwk/nuke-efinix...
2020-07-09 clairexenMerge pull request #2244 from antmicro/logic
2020-07-09 Marcelina Kościelnickaclk2fflogic: Consistently treat async control signals...
2020-07-02 clairexenMerge pull request #2132 from YosysHQ/eddie/verific_initial
2020-06-19 whitequarkMerge pull request #2173 from whitequark/use-cxx11...
2020-06-18 whitequarkUse C++11 final/override keywords.
2020-04-15 N. EngelhardtMerge pull request #1830 from boqwxp/qbfsat
2020-04-10 whitequarkMerge pull request #1603 from whitequark/ice40-ram_style
2020-04-08 Sahand KashaniMerge branch 'master' of github.com:YosysHQ/yosys into...
2020-04-07 Claire WolfMerge pull request #1814 from YosysHQ/mmicko/pyosys_mak...
2020-04-06 whitequarkMerge pull request #1859 from boqwxp/design_duplicate
2020-04-03 Eddie HungMerge pull request #1648 from YosysHQ/eddie/cmp2lcu
2020-04-02 Eddie HungMerge pull request #1853 from YosysHQ/eddie/fix_dynslice
2020-04-02 Eddie HungMerge pull request #1767 from YosysHQ/eddie/idstrings
2020-04-02 Eddie Hungkernel: big fat patch to use more ID::*, otherwise...
2020-04-02 Claire WolfMerge pull request #1846 from dh73/ast_fe
2020-04-02 Claire WolfMerge pull request #1842 from YosysHQ/mwk/fix-deminout-xz
2020-04-02 Eddie Hungkernel: use more ID::*
2020-04-02 Eddie HungMerge pull request #1845 from YosysHQ/eddie/kernel_speedup
2020-03-13 Eddie Hungkernel: SigSpec use more const& + overloads to prevent...
2020-03-12 Miodrag MilanovićMerge pull request #1666 from Xiretza/improve-makefile
2020-03-03 Claire WolfMerge pull request #1519 from YosysHQ/eddie/submod_po
2020-02-20 Claire WolfMerge pull request #1642 from jjj11x/jjj11x/sv-enum
2020-02-13 N. EngelhardtMerge pull request #1679 from thasti/delay-parsing
2020-02-10 Eddie HungMerge pull request #1670 from rodrigomelo9/master
2020-02-10 N. EngelhardtMerge pull request #1669 from thasti/pyosys-attrs
2020-02-05 Eddie HungMerge pull request #1576 from YosysHQ/eddie/opt_merge_init
2020-02-05 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2020-02-05 Eddie HungMerge pull request #1638 from YosysHQ/eddie/fix1631
2020-01-29 Claire WolfMerge branch 'vector_fix' of https://github.com/Kmanfi...
2020-01-15 Eddie Hungclk2fflogic: work for bit-level $_DFF_* and $_DFFSR_*
2019-09-27 Aman GoelMerge pull request #7 from YosysHQ/master
2019-08-26 Clifford WolfMerge tag 'yosys-0.9'
2019-08-25 Clifford WolfMerge pull request #1112 from acw1251/pyosys_sigsig_issue
2019-07-24 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-07-15 Eddie HungMerge branch 'master' into eddie/fix1178
2019-07-11 Clifford WolfMerge pull request #1172 from whitequark/write_verilog...
2019-07-11 Clifford WolfMerge pull request #1179 from whitequark/attrmap-proc
2019-07-10 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2019-07-10 Eddie HungMerge remote-tracking branch 'origin/master' into xc7dsp
2019-07-10 Eddie HungMerge pull request #1180 from YosysHQ/eddie/no_abc9_retime
2019-07-10 Eddie HungMerge pull request #1148 from YosysHQ/xc7mux
2019-07-10 Clifford WolfMerge pull request #1177 from YosysHQ/clifford/async
2019-07-09 Clifford WolfFix tests/various/async FFL test
2019-03-28 Benedikt TutzerMerge remote-tracking branch 'origin/master' into featu...
2018-09-17 Udi FinkelsteinMerge branch 'master' into pr_reg_wire_error
2018-08-22 Jim LawsonMerge pull request #1 from YosysHQ/master
2018-08-18 Aman GoelMerge pull request #3 from YosysHQ/master
2018-08-15 Clifford WolfMerge pull request #573 from cr1901/msys-64
2018-08-15 Clifford WolfMerge pull request #591 from hzeller/virtual-override
2018-07-21 Henner ZellerConsistent use of 'override' for virtual methods in...
2018-02-26 Clifford WolfAdd $dlatchsr support to clk2fflogic
2017-12-14 Clifford WolfMerge branch 'master' into btor-ng
2017-12-14 Clifford WolfFix a bug in clk2fflogic memory handling
2017-12-14 Clifford WolfMerge branch 'master' into btor-ng
2017-12-14 Clifford WolfAdd clk2fflogic memory support
2017-12-13 Clifford WolfCheck for memories in clk2fflogic
2016-10-19 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-10-17 Clifford WolfAdded clk2fflogic support for $dffsr and $dlatch
2016-10-16 Clifford WolfImprovements and bugfixes in clk2fflogic
2016-10-14 Clifford WolfSome minor build fixes for Visual C
2016-10-14 Clifford WolfAdded clk2fflogic