substr() -> compare()
[yosys.git] / passes / sat /
2019-08-07 Eddie Hungsubstr() -> compare()
2019-08-07 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-07 Eddie HungRemove std:: namespace
2019-08-07 Eddie Hungstoi -> atoi
2019-08-06 Eddie HungUse std::stoi instead of atoi(<str>.c_str())
2019-08-06 Eddie HungUse State::S{0,1}
2019-07-24 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-07-15 Eddie HungMerge branch 'master' into eddie/fix1178
2019-07-11 Clifford WolfMerge pull request #1172 from whitequark/write_verilog...
2019-07-11 Clifford WolfMerge pull request #1179 from whitequark/attrmap-proc
2019-07-10 Eddie HungMerge pull request #1180 from YosysHQ/eddie/no_abc9_retime
2019-07-10 Eddie HungMerge pull request #1148 from YosysHQ/xc7mux
2019-07-10 Clifford WolfMerge pull request #1177 from YosysHQ/clifford/async
2019-07-09 Clifford WolfFix tests/various/async FFL test
2019-06-27 Bogdan VukobratovicMerge remote-tracking branch 'upstream/master'
2019-06-26 Eddie HungMerge branch 'xc7nocarrymux' of https://github.com...
2019-06-22 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-06-22 Eddie HungMerge remote-tracking branch 'origin/xaig' into xc7mux
2019-06-22 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-22 Eddie HungMerge pull request #1108 from YosysHQ/clifford/fix1091
2019-06-21 Eddie HungMerge remote-tracking branch 'origin/eddie/muxpack...
2019-06-21 Eddie HungMerge branch 'master' into eddie/muxpack
2019-06-21 Eddie HungMerge pull request #1085 from YosysHQ/eddie/shregmap_im...
2019-06-21 Clifford WolfMerge pull request #1117 from bwidawsk/more-home
2019-06-21 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-20 Ben WidawskyAdd a few more filename rewrites
2019-06-20 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-20 Clifford WolfMerge branch 'master' of https://github.com/bogdanvuk...
2019-06-20 Clifford WolfMerge branch 'unpacked_arrays' of https://github.com...
2019-06-19 Eddie HungMerge pull request #1111 from acw1251/help_summary_fixes
2019-06-19 acw1251Fixed the help summary line for a few commands
2019-06-14 Bogdan VukobratovicMerge remote-tracking branch 'upstream/master'
2019-06-14 Bogdan VukobratovicSome cleanup, revert sat.cc
2019-06-13 Serge BazanskiMerge pull request #829 from abdelrahmanhosny/master
2019-06-12 Eddie HungMerge remote-tracking branch 'origin/xc7mux' into xaig
2019-06-12 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-07 Clifford WolfMerge branch 'pr_elab_sys_tasks' of https://github...
2019-06-07 Clifford WolfMerge branch 'implicit_named_connection' of https:...
2019-06-06 Eddie HungMerge remote-tracking branch 'origin/eddie/muxpack...
2019-06-06 Clifford WolfMerge pull request #1060 from antmicro/parsing_attr_on_...
2019-06-06 David ShahMerge pull request #1073 from whitequark/ecp5-diamond-iob
2019-06-06 Clifford WolfMerge pull request #1071 from YosysHQ/eddie/fix_1070
2019-06-06 Clifford WolfMerge pull request #1072 from YosysHQ/eddie/fix_1069
2019-06-05 Eddie HungError out if no top module given before 'sim'
2019-06-05 Eddie HungMerge pull request #1067 from YosysHQ/clifford/fix1065
2019-06-05 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-05 Clifford WolfMerge pull request #1066 from YosysHQ/clifford/fix1056
2019-06-05 Clifford WolfMerge pull request #999 from jakobwenzel/setundefInitFix
2019-06-05 Clifford WolfFix typo in fmcombine log message, fixes #1063
2019-05-31 Eddie HungMerge branch 'xaig' into xc7mux
2019-05-28 Eddie HungUpdate from master
2019-05-28 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-05-28 Bogdan VukobratovicFix the regression
2019-05-28 Bogdan VukobratovicMerge remote-tracking branch 'upstream/master'
2019-05-28 Bogdan VukobratovicOptimizing DFFs whose initial value prevents their...
2019-05-26 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-05-23 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-05-23 Eddie HungMerge remote-tracking branch 'origin/eddie/opt_rmdff...
2019-05-21 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-05-21 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-05-11 Clifford WolfMerge pull request #1003 from makaimann/zinit-all
2019-05-11 Clifford WolfAdd "fmcombine -initeq -anyeq"
2019-05-08 Clifford WolfMerge pull request #991 from kristofferkoch/gcc9-warnings
2019-05-06 Clifford WolfMerge pull request #946 from YosysHQ/clifford/specify
2019-05-06 Clifford WolfMerge pull request #871 from YosysHQ/verific_import
2019-05-06 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys into...
2019-05-06 Clifford WolfMerge pull request #992 from bwidawsk/bison-fix
2019-05-06 Clifford WolfMerge pull request #989 from YosysHQ/dave/abc_name_improve
2019-05-06 Clifford WolfFix bug in "expose -input"
2019-05-03 Eddie HungMerge remote-tracking branch 'origin/master' into cliff...
2019-05-03 Clifford WolfMerge pull request #969 from YosysHQ/clifford/pmgenstuff
2019-05-03 Clifford WolfMerge pull request #976 from YosysHQ/clifford/fix974
2019-05-02 Eddie HungMerge remote-tracking branch 'origin/clifford/pmgenstuf...
2019-05-02 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-05-02 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-05-01 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-05-01 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-05-01 Clifford WolfMerge branch 'clifford/fix883'
2019-05-01 Clifford WolfAdd missing enable_undef to "sat -tempinduct-def",...
2019-04-30 Jim LawsonMerge remote-tracking branch 'upstream/master'
2019-04-30 Benedikt TutzerMerge branch 'master' of https://github.com/YosysHQ...
2019-04-26 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-04-26 Eddie HungMerge remote-tracking branch 'origin/eddie/split_shiftx...
2019-04-26 Eddie HungMerge branch 'eddie/split_shiftx' into xc7mux
2019-04-23 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-22 Eddie HungMerge remote-tracking branch 'origin/xc7srl' into xc7mux
2019-04-22 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-22 Clifford WolfMerge pull request #905 from christian-krieg/feature...
2019-04-22 Clifford WolfMerge pull request #941 from Wren6991/sim_lib_io_clke
2019-04-22 Clifford WolfMerge branch 'master' of https://github.com/dh73/yosys_...
2019-04-22 Clifford WolfMerge pull request #911 from mmicko/gowin-nobram
2019-04-22 Clifford WolfMerge pull request #909 from zachjs/master
2019-04-22 Clifford WolfMerge pull request #944 from YosysHQ/clifford/pmux2shiftx
2019-04-22 Eddie HungMerge remote-tracking branch 'origin/clifford/libwb...
2019-04-21 Eddie HungMerge remote-tracking branch 'origin/clifford/libwb...
2019-04-21 Eddie HungMerge branch 'master' into map_cells_before_map_luts
2019-04-21 Eddie HungMerge remote-tracking branch 'origin/clifford/pmux2shif...
2019-04-21 Eddie HungMerge remote-tracking branch 'origin/master' into xc7srl
2019-04-20 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-04-20 Clifford WolfMerge pull request #943 from YosysHQ/clifford/whitebox
next