abc9_ops: still emit delay table even box has no timing
[yosys.git] / passes / techmap / dffsr2dff.cc
2020-01-29 Claire WolfMerge branch 'vector_fix' of https://github.com/Kmanfi...
2019-09-27 Aman GoelMerge pull request #7 from YosysHQ/master
2019-08-30 David ShahMerge branch 'master' into xc7dsp
2019-08-26 Clifford WolfMerge tag 'yosys-0.9'
2019-08-25 Clifford WolfMerge pull request #1112 from acw1251/pyosys_sigsig_issue
2019-08-22 Clifford WolfMerge pull request #1281 from mmicko/efinix
2019-08-21 Eddie HungMerge remote-tracking branch 'origin/master' into xc7dsp
2019-08-20 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-08-19 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys into...
2019-08-18 Miodrag MilanovicMerge remote-tracking branch 'upstream/master' into...
2019-08-18 whitequarkMerge branch 'master' into eddie/pr1266_again
2019-08-17 Clifford WolfMerge pull request #1283 from YosysHQ/clifford/fix1255
2019-08-16 Eddie HungMerge branch 'eddie/abc9_refactor' into xaig_dff
2019-08-16 Eddie HungMerge pull request #1250 from bwidawsk/master
2019-08-16 Eddie HungMerge https://github.com/bogdanvuk/yosys into bogdanvuk...
2019-08-16 Eddie HungMerge remote-tracking branch 'origin/master' into mwk...
2019-08-15 Clifford WolfMerge pull request #1299 from YosysHQ/eddie/cleanup2
2019-08-15 Eddie HungID(\\.*) -> ID(.*)
2019-08-15 Eddie HungTransform all "\\*" identifiers into ID()
2019-08-15 Eddie HungTransform "$.*" to ID("$.*") in passes/techmap
2019-03-28 Benedikt TutzerMerge remote-tracking branch 'origin/master' into featu...
2018-09-17 Udi FinkelsteinMerge branch 'master' into pr_reg_wire_error
2018-08-22 Jim LawsonMerge pull request #1 from YosysHQ/master
2018-08-18 Aman GoelMerge pull request #3 from YosysHQ/master
2018-08-15 Clifford WolfMerge pull request #573 from cr1901/msys-64
2018-08-15 Clifford WolfMerge pull request #591 from hzeller/virtual-override
2018-07-21 Henner ZellerConsistent use of 'override' for virtual methods in...
2016-07-08 Clifford WolfMerge branch 'yosys-0.5-vtr' of https://github.com...
2016-04-23 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-04-21 Clifford WolfAdded "yosys -D" feature
2016-02-02 Clifford WolfImproved dffsr2dff pass
2016-02-02 Clifford WolfAdded dffsr2dff