Merge pull request #829 from abdelrahmanhosny/master
[yosys.git] / passes / techmap / nlutmap.cc
2019-03-28 Benedikt TutzerMerge remote-tracking branch 'origin/master' into featu...
2018-09-17 Udi FinkelsteinMerge branch 'master' into pr_reg_wire_error
2018-08-22 Jim LawsonMerge pull request #1 from YosysHQ/master
2018-08-18 Aman GoelMerge pull request #3 from YosysHQ/master
2018-08-15 Clifford WolfMerge pull request #573 from cr1901/msys-64
2018-08-15 Clifford WolfMerge pull request #591 from hzeller/virtual-override
2018-07-21 Henner ZellerConsistent use of 'override' for virtual methods in...
2017-04-12 Larry DoolittleSquelch trailing whitespace
2016-07-08 Clifford WolfMerge branch 'yosys-0.5-vtr' of https://github.com...
2016-06-09 Clifford WolfAdded "nlutmap -assert"
2016-04-23 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-04-21 Clifford WolfAdded "yosys -D" feature
2016-03-23 Clifford WolfFixed handling of inverters (aka 1-input luts) in nlutmap
2015-12-07 Clifford WolfMerge pull request #108 from cseed/master
2015-09-18 Clifford WolfAdded nlutmap