Merge pull request #829 from abdelrahmanhosny/master
[yosys.git] / passes / techmap / simplemap.h
2016-07-08 Clifford WolfMerge branch 'yosys-0.5-vtr' of https://github.com...
2015-07-02 Clifford WolfFixed trailing whitespaces
2015-04-27 Clifford WolfAdded simplemap $lut support
2015-04-03 Ahmed IrfanMerge branch 'master' of https://github.com/cliffordwol...
2014-12-24 Clifford WolfImprovements in simplemap api, added $ne $nex $eq ...