Revert "Merge pull request #1917 from YosysHQ/eddie/abc9_delay_check"
[yosys.git] / passes / techmap /
2020-04-05 Alberto GonzalezClean up pseudo-private member usage and simplify ...
2020-04-05 Alberto GonzalezClean up `passes/techmap/extract.cc`.
2020-04-03 Eddie HungMerge pull request #1648 from YosysHQ/eddie/cmp2lcu
2020-04-02 Eddie HungMerge pull request #1853 from YosysHQ/eddie/fix_dynslice
2020-04-02 Eddie HungMerge pull request #1767 from YosysHQ/eddie/idstrings
2020-04-02 Eddie Hungkernel: big fat patch to use more ID::*, otherwise...
2020-04-02 Claire WolfMerge pull request #1846 from dh73/ast_fe
2020-04-02 Marcin Kościelnickiiopadmap: Fix z assignment to inout port
2020-04-02 Claire WolfMerge pull request #1842 from YosysHQ/mwk/fix-deminout-xz
2020-04-02 Eddie Hungkernel: use more ID::*
2020-04-02 Eddie HungMerge pull request #1845 from YosysHQ/eddie/kernel_speedup
2020-04-02 Claire WolfMerge pull request #1770 from YosysHQ/claire/btor_symbols
2020-04-02 Claire WolfMerge pull request #1765 from YosysHQ/claire/btor_info
2020-04-01 Eddie HungMerge pull request #1828 from YosysHQ/eddie/celltypes_s...
2020-04-01 Eddie HungMerge pull request #1790 from YosysHQ/eddie/opt_expr_xor
2020-04-01 Eddie HungMerge pull request #1789 from YosysHQ/eddie/opt_expr_alu
2020-04-01 Claire WolfMerge pull request #1848 from YosysHQ/eddie/fix_dynslice
2020-03-31 Eddie HungMerge pull request #1761 from YosysHQ/eddie/opt_merge_s...
2020-03-30 Eddie HungMerge pull request #1783 from boqwxp/astcc_cleanup
2020-03-30 Eddie HungMerge pull request #1786 from boqwxp/hierarchycc_cleanup
2020-03-30 Marcin Kościelnickideminout: prevent any constant assignment from demoting...
2020-03-30 N. EngelhardtMerge pull request #1811 from PeterCrozier/typedef_scope
2020-03-27 Claire WolfMerge pull request #1607 from whitequark/simplify-simpl...
2020-03-27 Claire WolfMerge pull request #1815 from boqwxp/fix-ef-optimize
2020-03-26 Claire WolfMerge pull request #1806 from YosysHQ/mwk/techmap-repla...
2020-03-23 N. EngelhardtMerge pull request #1763 from boqwxp/issue1762
2020-03-23 N. EngelhardtMerge pull request #1803 from Grazfather/typedef
2020-03-23 Marcin Kościelnickitechmap: Fix cell names with _TECHMAP_REPLACE_.*
2020-03-23 N. EngelhardtMerge pull request #1785 from boqwxp/mitercc_cleanup
2020-03-22 R. Ouiopadmap: Attempt to give new wires/cells meaningful...
2020-03-16 N. EngelhardtMerge pull request #1746 from boqwxp/optimization
2020-03-14 Miodrag MilanovićMerge pull request #1754 from boqwxp/precise_locations
2020-03-13 Eddie Hungkernel: SigSpec use more const& + overloads to prevent...
2020-03-12 Miodrag MilanovićMerge pull request #1666 from Xiretza/improve-makefile
2020-03-12 N. EngelhardtMerge pull request #1751 from boqwxp/add_assert
2020-03-11 Eddie HungMerge pull request #1743 from YosysHQ/eddie/abc9_keep
2020-03-11 Eddie HungMerge pull request #1744 from YosysHQ/eddie/fix1675
2020-03-10 David ShahMerge pull request #1753 from YosysHQ/dave/abc9-speedup
2020-03-10 David ShahMerge pull request #1721 from YosysHQ/dave/tribuf-unused
2020-03-09 David ShahAdd ScriptPass::run_nocheck and use for abc9
2020-03-09 N. EngelhardtMerge pull request #1716 from zeldin/ecp5_fix
2020-03-06 Eddie Hungabc9: for sccs, create a new wire instead of using...
2020-03-06 Eddie Hungabc9: (* keep *) wires to be PO only, not PI as well...
2020-03-06 Eddie Hungabc: add abc.debug scratchpad option
2020-03-04 David Shahdeminout: Don't demote inouts with unused bits
2020-03-03 N. EngelhardtMerge pull request #1691 from ZirconiumX/use-flowmap...
2020-03-03 Claire WolfMerge pull request #1718 from boqwxp/precise_locations
2020-03-03 Claire WolfMerge pull request #1681 from YosysHQ/eddie/fix1663
2020-03-03 Claire WolfMerge pull request #1519 from YosysHQ/eddie/submod_po
2020-03-02 Marcelina Kościelnickaiopadmap: Look harder for already-present buffers....
2020-03-02 Eddie HungMerge pull request #1724 from YosysHQ/eddie/abc9_specify
2020-02-27 Eddie HungFixes for older compilers
2020-02-27 Eddie Hungabc9_ops: suppress -prep_box warning for abc9_flop
2020-02-27 Eddie HungMake TimingInfo::TimingInfo(SigBit) constructor explicit
2020-02-27 Eddie HungTimingInfo: index by (port_name,offset)
2020-02-27 Eddie HungFix spacing
2020-02-27 Eddie Hungabc9_ops: still emit delay table even box has no timing
2020-02-27 Eddie Hungabc9_ops: demote lack of box timing info to warning
2020-02-27 Eddie HungGet rid of (* abc9_{arrival,required} *) entirely
2020-02-27 Eddie Hungabc9_ops: use TimingInfo for -prep_{lut,box} too
2020-02-27 Eddie Hungabc9_ops: use TimingInfo for -prep_{lut,box} too
2020-02-27 Eddie Hungabc9_ops: add and use new TimingInfo struct
2020-02-27 Eddie Hungabc9_ops: ignore (* abc9_flop *) if not '-dff'
2020-02-27 Eddie Hungabc9_ops: sort LUT delays to be ascending
2020-02-27 Eddie Hungabc9_ops: output LUT area
2020-02-27 Eddie Hungabc9_ops: cope with T_LIMIT{,2}_{MIN,TYP,MAX} and auto...
2020-02-27 Eddie Hungxilinx: improve specify functionality
2020-02-27 Eddie Hungxilinx: use specify blocks in place of abc9_{arrival...
2020-02-27 Eddie HungAuto-generate .box/.lut files from specify blocks
2020-02-27 Eddie Hungabc9_ops: assert on $specify2 properties
2020-02-27 Eddie Hungabc9_ops: -prep_box, to be called once
2020-02-27 Eddie Hungabc9_ops: -prep_lut and -write_lut to auto-generate...
2020-02-27 Claire WolfMerge pull request #1709 from rqou/coolrunner2_counter
2020-02-27 Claire WolfMerge pull request #1708 from rqou/coolrunner2-buf-fix
2020-02-26 Miodrag MilanovićMerge pull request #1705 from YosysHQ/logger_pass
2020-02-22 Eddie HungMerge pull request #1715 from boqwxp/master
2020-02-22 Alberto GonzalezCloses #1714. Fix make failure when NDEBUG=1.
2020-02-21 Eddie HungMerge pull request #1703 from YosysHQ/eddie/specify_improve
2020-02-20 Claire WolfMerge pull request #1642 from jjj11x/jjj11x/sv-enum
2020-02-17 R. Ouextract_counter: Implement extracting up counters
2020-02-17 R. Ouextract_counter: Add support for inverted clock enable
2020-02-17 R. Ouextract_counter: Fix clock enable
2020-02-17 R. Ouextract_counter: Fix outputting count to module port
2020-02-17 R. Ouextract_counter: Allow forbidding async reset
2020-02-17 R. Ouextract_counter: Refactor out extraction settings into...
2020-02-15 Eddie HungRevert "abc9: fix abc9_arrival for flops"
2020-02-14 Miodrag MilanovićMerge pull request #1701 from nakengelhardt/rpc-test
2020-02-14 Eddie HungMerge pull request #1700 from YosysHQ/eddie/abc9_fixes
2020-02-14 Eddie HungMerge pull request #1699 from YosysHQ/eddie/fix_iopad_init
2020-02-13 Eddie Hungiopadmap: fixes as suggested by @mwkmwkmwk
2020-02-13 Eddie Hungabc9: fix abc9_arrival for flops
2020-02-13 Eddie Hungabc9: deprecate abc9_ff.init wire for (* abc9_init...
2020-02-13 Eddie Hungiopadmap: move \init attributes from outpad output...
2020-02-13 Claire WolfMerge pull request #1694 from rqou/json_compat_fix
2020-02-13 N. EngelhardtMerge pull request #1679 from thasti/delay-parsing
2020-02-10 Eddie Hungabc9: cleanup
2020-02-10 Eddie HungMerge pull request #1670 from rodrigomelo9/master
2020-02-10 N. EngelhardtMerge pull request #1669 from thasti/pyosys-attrs
2020-02-07 Eddie HungMerge pull request #1687 from YosysHQ/eddie/fix_ystests
2020-02-07 Eddie HungFix misc.abc9.abc9_abc9_luts
next