Update synth_ice40 -device doc to be relevant for -abc9 only
[yosys.git] / passes /
2019-06-27 Eddie HungMerge branch 'xaig' of github.com:YosysHQ/yosys into...
2019-06-27 Eddie HungDo not use Module::remove() iterator version
2019-06-27 Eddie HungRemove &retime when abc9 -fast
2019-06-27 Eddie HungCleanup abc9.cc
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-27 Eddie HungMerge pull request #1139 from YosysHQ/dave/check-sim...
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-27 Eddie HungMerge pull request #1143 from YosysHQ/clifford/fix1135
2019-06-27 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-27 Eddie HungMerge origin/master
2019-06-27 Eddie HungMerge pull request #1142 from YosysHQ/clifford/fix1132
2019-06-27 Eddie HungMerge pull request #1138 from YosysHQ/koriakin/xc7nocar...
2019-06-27 Clifford WolfAdd "pmux2shiftx -norange", fixes #1135
2019-06-27 Clifford WolfFix handling of partial covers in muxcover, fixes ...
2019-06-27 Eddie HungFix spacing
2019-06-27 Eddie HungSupport more than one port in the abc_scc_break attr
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Eddie HungMerge branch 'koriakin/xc7nocarrymux' into xaig
2019-06-26 Eddie HungMerge branch 'xc7nocarrymux' of https://github.com...
2019-06-26 Clifford WolfImprove opt_clean handling of unused public wires
2019-06-26 Clifford WolfDo not clean up buffer cells with "keep" attribute...
2019-06-25 Eddie HungMissing muxpack.o in Makefile
2019-06-25 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-25 Clifford WolfMerge pull request #1130 from YosysHQ/eddie/fix710
2019-06-25 Eddie HungFix spacing
2019-06-25 Eddie HungMove only one consumer check outside of while loop
2019-06-25 Eddie HungMerge pull request #1129 from YosysHQ/eddie/ram32x1d
2019-06-25 Clifford WolfMerge pull request #1075 from YosysHQ/eddie/muxpack
2019-06-25 Eddie Hungnullptr check
2019-06-25 Eddie HungFix for abc_scc_break is bus
2019-06-25 Eddie HungMore meaningful error message
2019-06-25 Eddie HungDo not use log_id as it strips \\, also fix scc for...
2019-06-25 Eddie HungFix abc9's scc breaker, also break on abc_scc_break...
2019-06-25 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-25 Eddie HungWalk through as many muxes as exist for rd_en
2019-06-24 Clifford WolfMerge pull request #1124 from mmicko/json_ports
2019-06-22 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-06-22 Eddie HungMerge branch 'master' into xaig
2019-06-22 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-22 Eddie HungDo not rename non LUT cells in abc9
2019-06-22 Eddie HungMerge pull request #1108 from YosysHQ/clifford/fix1091
2019-06-21 Eddie HungCope with $reduce_or common in case
2019-06-21 Eddie HungFix spacing
2019-06-21 Eddie HungAdd doc
2019-06-21 Eddie HungFix up ExclusiveDatabase with @cliffordwolf's help
2019-06-21 Eddie HungMerge branch 'master' into eddie/muxpack
2019-06-21 Clifford WolfReplace "muxcover -freedecode" with "muxcover -dmux...
2019-06-21 Eddie HungMerge pull request #1085 from YosysHQ/eddie/shregmap_im...
2019-06-21 Clifford WolfMerge pull request #1117 from bwidawsk/more-home
2019-06-21 Clifford WolfAdd "muxcover -freedecode"
2019-06-21 Eddie HungFix gcc warning of potentially uninitialised
2019-06-21 Clifford WolfImprovements in muxcover
2019-06-21 Clifford WolfAdd support for partial matches to muxcover, fixes...
2019-06-21 Eddie HungFix simple_abc9/generate test with 1'bx at MSB
2019-06-21 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-21 Eddie HungDo not call "setundef -zero" in abc9
2019-06-21 Eddie HungActually, there might not be any harm in updating sigmap...
2019-06-20 Eddie HungAdd comment as per @cliffordwolf
2019-06-20 Ben WidawskyAdd a few more filename rewrites
2019-06-20 Clifford WolfFix typo, fixes #1095
2019-06-20 Clifford WolfImprove shregmap help message, fixes #1113
2019-06-20 Clifford WolfFix typo
2019-06-20 Clifford WolfMerge branch 'unpacked_arrays' of https://github.com...
2019-06-19 Eddie HungMerge pull request #1111 from acw1251/help_summary_fixes
2019-06-19 acw1251Fixed the help summary line for a few commands
2019-06-19 Eddie HungFix bug in #1078, add entry to CHANGELOG
2019-06-19 Clifford WolfMerge pull request #1105 from YosysHQ/clifford/fixlogicinit
2019-06-19 Clifford WolfUse input default values in hierarchy pass
2019-06-18 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-06-17 Eddie Hung&scorr before &sweep, remove &retime as recommended
2019-06-17 Eddie HungCopy not move parameters/attributes
2019-06-17 Eddie HungFix leak removing cells during ABC integration; also...
2019-06-17 Eddie HungRe-enable &dc2
2019-06-16 Eddie HungCleanup
2019-06-14 Eddie HungGet rid of compiler warnings
2019-06-14 Eddie HungUpdate abc9 -D doc
2019-06-14 Eddie HungEnable "abc9 -D <num>" for timing-driven synthesis
2019-06-14 Eddie HungFurther cleanup based on @daveshah1
2019-06-14 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-14 Eddie HungMerge branch 'xaig' of github.com:YosysHQ/yosys into...
2019-06-14 Eddie HungMerge pull request #1097 from YosysHQ/dave/xaig_ecp5
2019-06-14 Eddie HungRemove extra semicolon
2019-06-14 David Shahecp5: Add abc9 option
2019-06-13 Serge BazanskiMerge pull request #829 from abdelrahmanhosny/master
2019-06-12 Eddie HungRip out all non FPGA stuff from abc9
2019-06-12 Eddie HungFix spelling
2019-06-12 Eddie HungRevert "For 'stat' do not count modules with abc_box_id"
2019-06-12 Eddie HungBe more precise when connecting during ABC9 re-integration
2019-06-12 Eddie HungRemove hacky wideports_split from abc9
2019-06-12 Eddie HungFix compile errors when #if 1 for debug
2019-06-12 Eddie HungDo not call abc9 if no outputs
2019-06-12 Eddie HungMore write_xaiger cleanup
2019-06-12 Eddie HungConsistency
2019-06-12 Eddie HungMerge branch 'xc7mux' into xaig
2019-06-12 Eddie HungMerge branch 'xc7mux' of github.com:YosysHQ/yosys into...
2019-06-12 Eddie HungTypo: wire delay is -W argument
2019-06-12 Eddie HungRevert "Merge remote-tracking branch 'origin/eddie...
2019-06-12 Eddie HungRevert "Merge remote-tracking branch 'origin/eddie...
next