Check that whiteboxes are synthesisable
[yosys.git] / passes /
2019-06-14 Eddie HungGet rid of compiler warnings
2019-06-14 Eddie HungUpdate abc9 -D doc
2019-06-14 Eddie HungEnable "abc9 -D <num>" for timing-driven synthesis
2019-06-14 Eddie HungFurther cleanup based on @daveshah1
2019-06-14 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-14 Eddie HungMerge branch 'xaig' of github.com:YosysHQ/yosys into...
2019-06-14 Eddie HungMerge pull request #1097 from YosysHQ/dave/xaig_ecp5
2019-06-14 Eddie HungRemove extra semicolon
2019-06-14 David Shahecp5: Add abc9 option
2019-06-13 Serge BazanskiMerge pull request #829 from abdelrahmanhosny/master
2019-06-12 Eddie HungRip out all non FPGA stuff from abc9
2019-06-12 Eddie HungFix spelling
2019-06-12 Eddie HungRevert "For 'stat' do not count modules with abc_box_id"
2019-06-12 Eddie HungBe more precise when connecting during ABC9 re-integration
2019-06-12 Eddie HungRemove hacky wideports_split from abc9
2019-06-12 Eddie HungFix compile errors when #if 1 for debug
2019-06-12 Eddie HungDo not call abc9 if no outputs
2019-06-12 Eddie HungMore write_xaiger cleanup
2019-06-12 Eddie HungConsistency
2019-06-12 Eddie HungMerge branch 'xc7mux' into xaig
2019-06-12 Eddie HungMerge branch 'xc7mux' of github.com:YosysHQ/yosys into...
2019-06-12 Eddie HungTypo: wire delay is -W argument
2019-06-12 Eddie HungRevert "Merge remote-tracking branch 'origin/eddie...
2019-06-12 Eddie HungRevert "Merge remote-tracking branch 'origin/eddie...
2019-06-12 Eddie HungRevert "Merge remote-tracking branch 'origin/eddie...
2019-06-12 Eddie HungMerge remote-tracking branch 'origin/xc7mux' into xaig
2019-06-12 Eddie HungMerge remote-tracking branch 'origin/master' into xaig
2019-06-12 Eddie HungRetry "Add "-W' wire delay arg to abc9, use from synth_...
2019-06-12 Eddie HungRevert "Add "-W' wire delay arg to abc9, use from synth...
2019-06-12 Eddie HungAdd "-W' wire delay arg to abc9, use from synth_xilinx
2019-06-11 Eddie HungRevert "Merge remote-tracking branch 'origin/eddie...
2019-06-11 Eddie HungMerge remote-tracking branch 'origin/eddie/shregmap_imp...
2019-06-11 Eddie HungTry way that doesn't involve creating a new wire
2019-06-10 Eddie HungMerge remote-tracking branch 'origin/eddie/shregmap_imp...
2019-06-10 Eddie HungIf d_bit already in sigbit_chain_next, create extra...
2019-06-10 Eddie HungRevert "Rename shregmap -tech xilinx -> xilinx_dynamic"
2019-06-10 Eddie HungRevert "shregmap -tech xilinx_dynamic to work -params...
2019-06-10 Eddie HungRevert "Refactor to ShregmapTechXilinx7Static"
2019-06-10 Eddie HungRevert "Add -tech xilinx_static"
2019-06-10 Eddie HungRevert "Continue support for ShregmapTechXilinx7Static"
2019-06-10 Eddie HungRevert "shregmap -tech xilinx_static to handle INIT"
2019-06-10 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-08 Clifford WolfMerge pull request #1078 from YosysHQ/eddie/muxcover_costs
2019-06-07 Eddie HungFine tune aigerparse
2019-06-07 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-07 Eddie HungAllow muxcover costs to be changed
2019-06-07 Eddie HungAllow muxcover costs to be changed
2019-06-07 Clifford WolfMerge branch 'pr_elab_sys_tasks' of https://github...
2019-06-07 Clifford WolfMerge branch 'implicit_named_connection' of https:...
2019-06-06 Eddie HungMerge remote-tracking branch 'origin/eddie/muxpack...
2019-06-06 Eddie HungFix and test for balanced case
2019-06-06 Eddie HungMerge remote-tracking branch 'origin/eddie/muxpack...
2019-06-06 Eddie HungSupport cascading $pmux.A with $mux.A and $mux.B
2019-06-06 Eddie HungMore cleanup
2019-06-06 Eddie HungFix spacing
2019-06-06 Eddie HungNon chain user check using next_sig
2019-06-06 Eddie HungMove muxpack from passes/techmap to passes/opt
2019-06-06 Eddie HungUpdate doc
2019-06-06 Eddie HungAdd tests, fix for !=
2019-06-06 Eddie HungMissing file
2019-06-06 Eddie HungInitial adaptation of muxpack from shregmap
2019-06-06 Clifford WolfMerge pull request #1060 from antmicro/parsing_attr_on_...
2019-06-06 David ShahMerge pull request #1073 from whitequark/ecp5-diamond-iob
2019-06-06 Clifford WolfMerge pull request #1071 from YosysHQ/eddie/fix_1070
2019-06-06 Clifford WolfMerge pull request #1072 from YosysHQ/eddie/fix_1069
2019-06-05 Eddie HungMissing doc for -tech xilinx in shregmap
2019-06-05 Eddie HungError out if no top module given before 'sim'
2019-06-05 Eddie HungFix typo in opt_rmdff
2019-06-05 Eddie Hungshregmap -tech xilinx_static to handle INIT
2019-06-05 Eddie HungContinue support for ShregmapTechXilinx7Static
2019-06-05 Eddie HungAdd -tech xilinx_static
2019-06-05 Eddie HungRefactor to ShregmapTechXilinx7Static
2019-06-05 Eddie Hungshregmap -tech xilinx_dynamic to work -params and ...
2019-06-05 Eddie HungMerge pull request #1067 from YosysHQ/clifford/fix1065
2019-06-05 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-05 Eddie HungMerge remote-tracking branch 'origin/clifford/fix1065...
2019-06-05 Clifford WolfMerge pull request #1066 from YosysHQ/clifford/fix1056
2019-06-05 Clifford WolfMajor rewrite of wire selection in setundef -init
2019-06-05 Clifford WolfIndent fix
2019-06-05 Clifford WolfMerge pull request #999 from jakobwenzel/setundefInitFix
2019-06-05 Clifford WolfFix typo in fmcombine log message, fixes #1063
2019-06-05 Clifford WolfSuppress driver-driver conflict warning for unknown...
2019-06-04 Eddie HungRename shregmap -tech xilinx -> xilinx_dynamic
2019-06-04 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
2019-06-03 Eddie HungRemove dupe
2019-05-31 Eddie HungMerge branch 'xaig' into xc7mux
2019-05-31 Eddie HungThrow out unused code inherited from abc
2019-05-31 Clifford WolfFix "tee" handling of log_streams
2019-05-30 Eddie HungFix spelling
2019-05-30 Eddie HungRevert "Re-enable &dc2"
2019-05-30 Eddie HungDo not double count LUT1s
2019-05-30 Eddie HungRe-enable &dc2
2019-05-30 Eddie HungReduce -W to 160
2019-05-30 Eddie HungErase all boxes before stitching
2019-05-29 Eddie HungCall &if with -W 250
2019-05-29 Eddie HungAdd some debug to abc9
2019-05-28 Clifford WolfMerge pull request #1049 from YosysHQ/clifford/fix1047
2019-05-28 Eddie HungFrom master
2019-05-28 Eddie HungUpdate from master
2019-05-28 Eddie HungMerge remote-tracking branch 'origin/master' into xc7mux
next