abc9_ops: cleanup
[yosys.git] / passes /
2020-01-03 Eddie HungWIP
2020-01-03 Eddie HungWIP
2020-01-03 N. Engelhardtshare codepath for scratchpad argument handling with...
2020-01-03 N. EngelhardtMerge branch 'master' of https://github.com/YosysHQ...
2020-01-03 Eddie HungRemove a few log_{push,pop}()
2020-01-03 Eddie Hungaigmap everything
2020-01-03 Eddie Hungscc command to ignore blackboxes
2020-01-03 Eddie HungMove scc operations out of inner loop
2020-01-02 Eddie HungCleanup
2020-01-02 Eddie HungMerge remote-tracking branch 'origin/xaig_dff' into...
2020-01-02 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2020-01-02 whitequarkMerge pull request #1604 from whitequark/unify-ram...
2020-01-02 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2020-01-02 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2020-01-02 Eddie HungUpdate doc
2020-01-02 Eddie Hungabc9 -keepff -> -dff; refactor dff operations
2020-01-02 Clifford WolfMerge pull request #1609 from YosysHQ/clifford/fix1596
2020-01-02 Eddie HungMerge pull request #1601 from YosysHQ/eddie/synth_retime
2020-01-01 Eddie HungMerge pull request #1606 from YosysHQ/eddie/improve_tests
2020-01-01 Miodrag MilanovićMerge pull request #1605 from YosysHQ/iopad_fix
2020-01-01 Eddie HungCleanup abc9, update doc for -keepff option
2020-01-01 Eddie HungRestore abc9 -keepff
2020-01-01 Eddie Hungattributes.count() -> get_bool_attribute()
2020-01-01 Miodrag Milanovictake skip wire bits into account
2020-01-01 Eddie Hungparse_xaiger to not take box_lookup
2020-01-01 Eddie HungDo not re-order carry chain ports, just precompute...
2019-12-31 Eddie HungMerge remote-tracking branch 'origin/xaig_dff' into...
2019-12-31 Eddie HungRemove abc9 -clk option
2019-12-31 Eddie Hungabc9_ops -prep_dff cope with lack of holes module
2019-12-31 Eddie HungRename struct
2019-12-31 Eddie HungMerge remote-tracking branch 'origin/xaig_dff' into...
2019-12-31 Eddie HungMove Pass::call() out of abc9_ops into abc9
2019-12-31 Eddie HungUse function arg
2019-12-31 Eddie Hungholes_module to be whitebox
2019-12-31 Eddie HungAdd abc9_ops -prep_holes
2019-12-31 Eddie HungAdd abc9_ops -prep_dff
2019-12-31 Eddie HungRestore count_outputs, move process check to abc
2019-12-31 Eddie HungFix struct name
2019-12-31 Eddie HungMerge remote-tracking branch 'origin/xaig_dff' into...
2019-12-31 Eddie HungRemove delay targets doc
2019-12-31 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-12-30 Eddie Hungwrite_xaiger to use scratchpad for stats; cleanup abc9
2019-12-30 Eddie HungGrammar
2019-12-30 Eddie HungRemove submod changes
2019-12-30 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2019-12-30 Eddie HungAdd "synth_xilinx -dff" option, cleanup abc9
2019-12-30 Eddie HungRevert "ABC to call retime all the time"
2019-12-30 Eddie HungGrammar
2019-12-28 Miodrag MilanovicMerge remote-tracking branch 'origin/master' into iopad...
2019-12-28 Eddie Hungabc9_techmap -> _map; called from abc9 script pass...
2019-12-28 Eddie HungRename abc9.cc -> abc9_techmap.cc
2019-12-25 Miodrag Milanovicfixed invalid char
2019-12-25 Marcin Kościelnickiiopadmap: Emit tristate buffers with const OE for some...
2019-12-25 Marcin KościelnickiMerge pull request #1593 from YosysHQ/mwk/dsp48a1-pmgen
2019-12-25 Marcin KościelnickiMinor nit fixes
2019-12-23 Eddie HungFix OPMODE for PCIN->PCOUT cascades in xc6s, check...
2019-12-23 Eddie HungFix CEA/CEB check
2019-12-23 Eddie HungFix checking CE[AB] and for direct connections
2019-12-23 Eddie HungSupport unregistered cascades for A and B inputs
2019-12-23 Eddie HungAdd DSP48A* PCOUT -> PCIN cascade support
2019-12-23 Eddie HungDisable clock domain partitioning in Yosys pass, let...
2019-12-22 Marcin Kościelnickixilinx_dsp: Initial DSP48A/DSP48A1 support.
2019-12-20 Eddie HungMerge pull request #1588 from YosysHQ/eddie/xaiger_cleanup
2019-12-20 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2019-12-20 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2019-12-20 Eddie HungMerge pull request #1585 from YosysHQ/eddie/fix_abc9_lut
2019-12-19 Eddie HungSplit into $__ABC9_ASYNC[01], do not add cell->type...
2019-12-19 Eddie HungMerge remote-tracking branch 'origin/master' into xaig_dff
2019-12-19 Eddie HungMerge pull request #1581 from YosysHQ/clifford/fix1565
2019-12-19 Eddie HungMerge pull request #1558 from YosysHQ/eddie/xaiger_cleanup
2019-12-19 Eddie HungMerge pull request #1569 from YosysHQ/eddie/fix_1531
2019-12-19 Eddie HungMerge pull request #1571 from YosysHQ/eddie/fix_1570
2019-12-18 Eddie HungInterpret "abc9 -lut" as lut string only if [0-9:]
2019-12-18 Eddie HungMerge branch 'master' of github.com:YosysHQ/yosys
2019-12-18 David ShahMerge pull request #1563 from YosysHQ/dave/async-prld
2019-12-18 Eddie HungMerge pull request #1572 from nakengelhardt/scratchpad_pass
2019-12-18 Marcin Kościelnickixilinx: Add xilinx_dffopt pass (#1557)
2019-12-18 N. Engelhardtuse extra_args
2019-12-18 Eddie HungRemove &verify -s
2019-12-18 Eddie HungUse pool<> instead of std::set<> to preserver ordering
2019-12-17 N. Engelhardtcheck scratchpad variable abc9.scriptfile
2019-12-17 Clifford WolfFix sim for assignments with lhs<rhs size, fixes #1565
2019-12-17 Eddie HungCleanup
2019-12-17 Eddie HungMerge pull request #1574 from YosysHQ/eddie/xilinx_lutram
2019-12-17 Eddie HungMerge pull request #1521 from dh73/diego/memattr
2019-12-17 Eddie HungEnforce non-existence
2019-12-17 Eddie HungPut $__ABC9_{FF_,ASYNC} into same clock domain as abc9_flop
2019-12-16 Eddie HungUpdate doc
2019-12-16 Eddie HungMore sloppiness, thanks @dh73 for spotting
2019-12-16 Eddie HungOops
2019-12-16 Eddie HungImplement 'attributes' grammar
2019-12-16 Eddie HungMerge branch 'diego/memattr' of https://github.com...
2019-12-16 Diego HFixing compiler warning/issues. Moving test script...
2019-12-16 N. Engelhardtadd assert option to scratchpad command
2019-12-16 Diego HMerging attribute rules into a single match block;...
2019-12-16 Eddie HungMerge pull request #1575 from rodrigomelo9/master
2019-12-16 Eddie HungMerge pull request #1577 from gromero/for-yosys
2019-12-16 Eddie HungMerge pull request #1578 from noopwafel/eqneq-debug
2019-12-15 Alyssa MilburnFix opt_expr.eqneq.cmpzero debug print
2019-12-13 Diego HRefactoring memory attribute matching based on IEEE...
next