opt_merge: unordered_map -> dict as per @cliffordwolf review
[yosys.git] / passes /
2020-03-16 Eddie Hungopt_merge: unordered_map -> dict as per @cliffordwolf...
2020-03-16 Eddie Hungopt_merge: speedup
2020-03-12 Miodrag MilanovićMerge pull request #1666 from Xiretza/improve-makefile
2020-03-12 N. EngelhardtMerge pull request #1751 from boqwxp/add_assert
2020-03-11 Eddie HungMerge pull request #1743 from YosysHQ/eddie/abc9_keep
2020-03-11 Eddie HungMerge pull request #1744 from YosysHQ/eddie/fix1675
2020-03-10 Alberto GonzalezExtend `add` command to allow adding cells for verifica...
2020-03-10 David ShahMerge pull request #1753 from YosysHQ/dave/abc9-speedup
2020-03-10 David ShahMerge pull request #1721 from YosysHQ/dave/tribuf-unused
2020-03-10 N. EngelhardtMerge pull request #1755 from boqwxp/add_cmd_cleanup
2020-03-10 Alberto GonzalezClean up passes/cmds/add.cc code style.
2020-03-09 David ShahAdd ScriptPass::run_nocheck and use for abc9
2020-03-09 N. EngelhardtMerge pull request #1716 from zeldin/ecp5_fix
2020-03-06 Eddie Hungabc9: for sccs, create a new wire instead of using...
2020-03-06 Eddie Hungabc9: (* keep *) wires to be PO only, not PI as well...
2020-03-06 Eddie Hungabc: add abc.debug scratchpad option
2020-03-04 Eddie HungMerge pull request #1735 from YosysHQ/eddie/abc9_dsp48e1
2020-03-04 David Shahdeminout: Don't demote inouts with unused bits
2020-03-03 N. EngelhardtMerge pull request #1691 from ZirconiumX/use-flowmap...
2020-03-03 Claire WolfMerge pull request #1718 from boqwxp/precise_locations
2020-03-03 Claire WolfMerge pull request #1681 from YosysHQ/eddie/fix1663
2020-03-03 Claire WolfMerge pull request #1519 from YosysHQ/eddie/submod_po
2020-03-02 Marcelina Kościelnickaiopadmap: Look harder for already-present buffers....
2020-03-02 Eddie HungMerge pull request #1724 from YosysHQ/eddie/abc9_specify
2020-02-29 Eddie HungMerge pull request #1727 from YosysHQ/eddie/fix_write_smt2
2020-02-28 Eddie Hungystests: fix write_smt2_write_smt2_cyclic_dependency_fail
2020-02-27 Eddie HungFixes for older compilers
2020-02-27 Eddie Hungabc9_ops: suppress -prep_box warning for abc9_flop
2020-02-27 Eddie HungMake TimingInfo::TimingInfo(SigBit) constructor explicit
2020-02-27 Eddie HungTimingInfo: index by (port_name,offset)
2020-02-27 Eddie HungFix spacing
2020-02-27 Eddie Hungabc9_ops: still emit delay table even box has no timing
2020-02-27 Eddie Hungabc9_ops: demote lack of box timing info to warning
2020-02-27 Eddie HungGet rid of (* abc9_{arrival,required} *) entirely
2020-02-27 Eddie Hungabc9_ops: use TimingInfo for -prep_{lut,box} too
2020-02-27 Eddie Hungabc9_ops: use TimingInfo for -prep_{lut,box} too
2020-02-27 Eddie Hungabc9_ops: add and use new TimingInfo struct
2020-02-27 Eddie Hungabc9_ops: ignore (* abc9_flop *) if not '-dff'
2020-02-27 Eddie Hungabc9_ops: sort LUT delays to be ascending
2020-02-27 Eddie Hungabc9_ops: output LUT area
2020-02-27 Eddie Hungabc9_ops: cope with T_LIMIT{,2}_{MIN,TYP,MAX} and auto...
2020-02-27 Eddie Hungxilinx: improve specify functionality
2020-02-27 Eddie Hungxilinx: use specify blocks in place of abc9_{arrival...
2020-02-27 Eddie HungAuto-generate .box/.lut files from specify blocks
2020-02-27 Eddie Hungabc9_ops: assert on $specify2 properties
2020-02-27 Eddie Hungabc9_ops: -prep_box, to be called once
2020-02-27 Eddie Hungabc9_ops: -prep_lut and -write_lut to auto-generate...
2020-02-27 Claire WolfMerge pull request #1709 from rqou/coolrunner2_counter
2020-02-27 Claire WolfMerge pull request #1708 from rqou/coolrunner2-buf-fix
2020-02-26 Miodrag MilanovićMerge pull request #1705 from YosysHQ/logger_pass
2020-02-23 Miodrag MilanovicFix line endings
2020-02-22 Eddie HungMerge pull request #1715 from boqwxp/master
2020-02-22 Miodrag MilanovicUpdate explanation for expect-no-warnings
2020-02-22 Miodrag MilanovicCheck other regex parameters
2020-02-22 Alberto GonzalezCloses #1714. Fix make failure when NDEBUG=1.
2020-02-21 Eddie HungMerge pull request #1703 from YosysHQ/eddie/specify_improve
2020-02-20 Claire WolfMerge pull request #1642 from jjj11x/jjj11x/sv-enum
2020-02-20 Miodrag Milanoviccheck for regex errors
2020-02-19 Eddie Hungclean: ignore specify-s inside cells when determining...
2020-02-17 Miodrag MilanovicOption to expect no warnings
2020-02-17 R. Ouextract_counter: Implement extracting up counters
2020-02-17 R. Ouextract_counter: Add support for inverted clock enable
2020-02-17 R. Ouextract_counter: Fix clock enable
2020-02-17 R. Ouextract_counter: Fix outputting count to module port
2020-02-17 R. Ouextract_counter: Allow forbidding async reset
2020-02-17 R. Ouextract_counter: Refactor out extraction settings into...
2020-02-15 Tim 'mithro' Ansellshow: Add -nobg argument.
2020-02-15 Eddie HungRevert "abc9: fix abc9_arrival for flops"
2020-02-14 Miodrag MilanovicAdd expect option to logger command
2020-02-14 Miodrag MilanovićMerge pull request #1701 from nakengelhardt/rpc-test
2020-02-14 Eddie HungMerge pull request #1700 from YosysHQ/eddie/abc9_fixes
2020-02-14 Eddie HungMerge pull request #1699 from YosysHQ/eddie/fix_iopad_init
2020-02-13 Eddie Hungiopadmap: fixes as suggested by @mwkmwkmwk
2020-02-13 Eddie Hungabc9: fix abc9_arrival for flops
2020-02-13 Eddie Hungabc9: deprecate abc9_ff.init wire for (* abc9_init...
2020-02-13 Eddie Hungiopadmap: move \init attributes from outpad output...
2020-02-13 Claire WolfMerge pull request #1694 from rqou/json_compat_fix
2020-02-13 Miodrag MilanovicAdd new logger pass
2020-02-13 N. EngelhardtMerge pull request #1679 from thasti/delay-parsing
2020-02-10 Eddie Hungabc9: cleanup
2020-02-10 Eddie HungMerge pull request #1670 from rodrigomelo9/master
2020-02-10 N. EngelhardtMerge pull request #1669 from thasti/pyosys-attrs
2020-02-07 Eddie HungMerge pull request #1687 from YosysHQ/eddie/fix_ystests
2020-02-07 Eddie HungFix misc.abc9.abc9_abc9_luts
2020-02-05 Eddie HungMerge pull request #1576 from YosysHQ/eddie/opt_merge_init
2020-02-05 Eddie HungMerge pull request #1650 from YosysHQ/eddie/shiftx2mux
2020-02-05 Eddie Hungabc9_ops: -reintegrate to use derived_type for box_ports
2020-02-05 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2020-02-05 Eddie HungMerge pull request #1638 from YosysHQ/eddie/fix1631
2020-02-05 Eddie HungMerge pull request #1661 from YosysHQ/eddie/abc9_required
2020-02-03 Rodrigo A. MeloMerge branch 'master' into master
2020-02-03 Marcelina KościelnickaAdd opt_lut_ins pass. (#1673)
2020-02-03 Rodrigo Alejandro... Merge branch 'master' of https://github.com/YosysHQ...
2020-02-02 David ShahMerge pull request #1516 from YosysHQ/dave/dotstar
2020-02-02 David Shahsv: Improve handling of wildcard port connections
2020-02-02 David Shahhierarchy: Correct handling of wildcard port connection...
2020-02-02 David Shahhierarchy: Resolve SV wildcard port connections
2020-02-02 David ShahMerge pull request #1647 from YosysHQ/dave/sprintf
2020-02-02 David ShahMerge pull request #1657 from YosysHQ/dave/xilinx-dsp...
2020-02-01 David Shahxilinx_dsp: Add multonly scratchpad var to bypass
next